From fdce0278f31f75eda28d2a106fef50b1ffeaa5ab Mon Sep 17 00:00:00 2001 From: Marno van der Maas Date: Mon, 12 Feb 2024 14:56:15 +0000 Subject: [PATCH] Minor cleanup of Sonata XDC --- data/pins_sonata.xdc | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/data/pins_sonata.xdc b/data/pins_sonata.xdc index 837cedac..d9d03249 100644 --- a/data/pins_sonata.xdc +++ b/data/pins_sonata.xdc @@ -3,12 +3,11 @@ ## SPDX-License-Identifier: Apache-2.0 ## Clocks -create_clock -period 40.000 -name mainclk -waveform {0.000 20.000} [get_ports main_clk] -create_clock -period 100.000 -name tck -waveform {0.000 50.000} [get_ports tck_i] +create_clock -period 40.000 -name main_clk -waveform {0.000 20.000} [get_ports main_clk] +create_clock -period 100.000 -name tck_i -waveform {0.000 50.000} [get_ports tck_i] ## Reset -set_property PACKAGE_PIN R11 [get_ports {nrst_btn}] -set_property IOSTANDARD LVCMOS33 [get_ports {nrst_btn}] +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports {nrst_btn}] ## General purpose LEDs set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports {led_user[0]}];