-
Notifications
You must be signed in to change notification settings - Fork 0
/
tb_module1.v
56 lines (45 loc) · 1.19 KB
/
tb_module1.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 20:09:17 01/23/2021
// Design Name: TemperatureCalculator
// Module Name: C:/Users/minaa/Desktop/uni-term3/logiclab_5/FinalProject/tb_module1.v
// Project Name: FinalProject
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: TemperatureCalculator
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
module tb_module1;
// Inputs
reg [31:0] tc_base;
reg [7:0] tc_ref;
reg [15:0] adc_data;
// Outputs
wire [31:0] tempc;
// Instantiate the Unit Under Test (UUT)
TemperatureCalculator uut (
.tc_base(tc_base),
.tc_ref(tc_ref),
.adc_data(adc_data),
.tempc(tempc)
);
initial begin
// Initialize Inputs
tc_base = 32'b00000000000000000000000000000001 ;
tc_ref = 8'b00011000;
adc_data = 16'b0011000010000001;
// Wait 100 ns for global reset to finish
#100;
end
endmodule