From 3e038ad4046b5bfd77e63658b139d8d4ca1f0989 Mon Sep 17 00:00:00 2001 From: Justin Refice Date: Thu, 29 Aug 2024 09:33:01 -0700 Subject: [PATCH] Official Release 1800.2 2020.3.1 Signed-off-by: Justin Refice --- DEVELOPMENT.md | 93 +++ NOTICE.txt | 12 +- README.md | 34 +- compat/README.md | 2 +- docs/html/doc_src/overviews/intro.txt | 20 +- docs/html/doc_src/overviews/legal.txt | 158 ++--- .../files/base/uvm_cmdline_processor-svh.html | 2 +- docs/html/files/base/uvm_misc-svh.html | 170 +++++ .../files/base/uvm_object_globals-svh.html | 44 +- .../base/uvm_packer_array_extension-svh.html | 116 ++++ docs/html/index/Classes.html | 50 +- docs/html/index/Files.html | 2 +- docs/html/index/General.html | 579 +++++++----------- docs/html/index/General2.html | 205 +++++++ docs/html/index/Methods.html | 346 ++++++----- docs/html/index/Types.html | 4 +- docs/html/menu.html | 4 +- docs/html/search/ClassesU.html | 2 + docs/html/search/FilesU.html | 1 + docs/html/search/GeneralF.html | 3 +- docs/html/search/GeneralG.html | 2 +- docs/html/search/GeneralM.html | 2 +- docs/html/search/GeneralN.html | 2 +- docs/html/search/GeneralT.html | 3 +- docs/html/search/GeneralU.html | 5 + docs/html/search/MethodsF.html | 3 +- docs/html/search/MethodsG.html | 2 +- docs/html/search/MethodsN.html | 2 +- docs/html/search/MethodsT.html | 1 + docs/html/search/TypesU.html | 4 +- src/base/uvm_base.svh | 1 + src/base/uvm_cmdline_processor.svh | 21 +- src/base/uvm_cmdline_report.svh | 2 +- src/base/uvm_event.svh | 61 +- src/base/uvm_misc.svh | 260 +++++--- src/base/uvm_object_globals.svh | 14 + src/base/uvm_packer_array_extension.svh | 70 +++ src/base/uvm_printer.svh | 8 +- src/base/uvm_recorder.svh | 8 +- src/base/uvm_report_catcher.svh | 2 +- src/base/uvm_report_object.svh | 5 +- src/base/uvm_root.svh | 71 +-- src/base/uvm_spell_chkr.svh | 2 +- src/base/uvm_transaction.svh | 45 +- src/base/uvm_version.svh | 2 +- src/comps/uvm_random_stimulus.svh | 2 +- src/macros/uvm_packer_defines.svh | 51 +- src/macros/uvm_printer_defines.svh | 85 ++- 48 files changed, 1684 insertions(+), 899 deletions(-) create mode 100644 docs/html/files/base/uvm_misc-svh.html create mode 100644 docs/html/files/base/uvm_packer_array_extension-svh.html create mode 100644 docs/html/index/General2.html create mode 100644 src/base/uvm_packer_array_extension.svh diff --git a/DEVELOPMENT.md b/DEVELOPMENT.md index ddbe339..c8a2299 100644 --- a/DEVELOPMENT.md +++ b/DEVELOPMENT.md @@ -331,6 +331,99 @@ feature branch in the local and Github fork may be deleted. [14]: https://help.github.com/articles/using-pull-requests "Using Pull Requests - github:help" +### Updating the `official` branch and repository + +The `official` branch of the repository is setup to track pre-release bug patches to the +tarball releases of the reference implementation. In effect, [accellera-official/uvm-core:main][15] +is the publicly read-only mirror of the privately read/write [OSCI-WG/uvm-core:official][16]. +See [CONTRIBUTING][9] for more details on "Functional Bugs." + +The `official` branch in `OSCI-WG` gets updates in two ways: +1. When a new release is made, the `official` branch is updated to + point to that release. +2. When a bug fix patch is accepted for the existing release, the `official` branch + is updated. + +Note that the `official` branch is _not_ updated directly from `master` or `release`, +and shares no common history with either branch. This is intentional, as it helps +to prevent cross contamination in both the files and commit history. + +To update the `official` branch, a patch file should be generated from a pull request +of [accellera-official/uvm-core:main][15] or [OSCI-WG/uvm-core:master][17]. These patches +can be easily acquired from the github UI by appending `.patch` to the URL for the +pull request. + +For example: + +``` +# The patch for OSCI-WG/uvm-core pull request #500 +wget https://github.com/OSCI-WG/uvm-core/pull/500.patch +``` + +> **Note:** All patches taken from the [accellera-official/uvm-core:main][15] MUST be +> signed off with a Developer's Certificate of Origin. See [CONTRIBUTING][9] for more details. + +The patch file can then be applied to `OSCI-WG/uvm-core:official` using the following commands: +``` +git checkout -b official_issue_ --track osci-wg/official +git apply +... possible edits ... +git commit -m "Applying patch for accellera-official/uvm-core#" +git push -u origin official_issue_ +``` + +Note that edits to the patch may be necessary to ensure that the patch is compatible with the +`official` branch. This could occur if the patch is coming [OSCI-WG/uvm-core:master][17] and +needs tweaks because of enhancements made to `master` since the last tarball, or if the patch +is coming from [accellera-official/uvm-core:main][15] and needs to be merged into other patches +that have been applied. + +Applying patches from [OSCI-WG/uvm-core:official][16] to [accellera-official/uvm-core:main][15] +is done in a similar way as above. + +First, the latest commit to [OSCI-WG/uvm-core:official][16] must be tagged to indicate +that this is a patch point. That tag is then used to produce a patch file for use +with [accellera-official/uvm-core:main][15]. + +This can be done using the following command: +``` +## These commands are executed in a clone of OSCI-WG/uvm-core +# Make sure you're up to date with OSCI-WG +git checkout master +git fetch --all +# Delete the local branch to ensure a clean work area +git branch -D official +# Create a local branch +git checkout -b official --track osci-wg/official +# Tag this location for the patch +git tag -a OFFICIAL__PATCH -m "Official Patch for 1800.2 " +git push osci-wg OFFICIAL__PATCH +# Create a patch file for the latest commit to official +git diff OFFICIAL__PATCH > official_patch_.patch +``` + +This patch file can then be used to update the official repository using the following command: +``` +## These commands are executed in a clone of accellera-official/uvm-core +# Make sure you're up to date with accellera-official +git checkout main +git fetch --all +# Create a patch branch +git checkout -b official_patch_ --track accellera-official/main +# Apply the patch file +git apply +# Commit the changes, making sure to sign the commit +git commit -s -m "Official Patch for 1800.2 " +# Push the changes up, create a pull request to be merged by the WG +git push origin official_patch_ +``` + +The WG can then accept the new pull request and merge the changes into `main`. + +[15]: https://github.com/accellera-official/uvm-core "accellera-official/uvm-core:main" +[16]: https://github.com/OSCI-WG/uvm-core/tree/official "OSCI-WG/uvm-core:official" +[17]: https://github.com/OSCI-WG/uvm-core/tree/master "OSCI-WG/uvm-core:master" + --------------------------------------------------------------------- Versioning scheme --------------------------------------------------------------------- diff --git a/NOTICE.txt b/NOTICE.txt index 9429f6d..d48b354 100644 --- a/NOTICE.txt +++ b/NOTICE.txt @@ -50,7 +50,7 @@ All rights reserved. Portions of the UVM Reference Library were developed by Cadence Design Systems, Inc. -Copyright 2007-2023 Cadence Design Systems, Inc. +Copyright 2007-2024 Cadence Design Systems, Inc. All rights reserved. ========================================================================= @@ -163,6 +163,16 @@ Semifore. Copyright 2011-2022 Semifore. All rights reserved. +========================================================================= +== UVM Siemens Notice +========================================================================= + +Portions of the UVM Reference Library were developed by +Siemens. + +Copyright 2024 Siemens. +All rights reserved. + ========================================================================= == UVM Synopsys, Inc Notice ========================================================================= diff --git a/README.md b/README.md index 4083add..d7f2be9 100644 --- a/README.md +++ b/README.md @@ -9,7 +9,7 @@ See details in the Library Release Description below. # Kit version -1800.2 2020.3.0 +1800.2 2020.3.1 # License @@ -30,22 +30,22 @@ contact the Accellera UVM Working Group (uvm-wg@lists.accellera.org). # Bug Fixes -The following errata were fixed in 2020.3.0. +The following errata were fixed in 2020.3.1. -| Mantis Number | Description | +| Identifier | Description | | ------------- | ----------- | -| [Mantis_7944](https://accellera.mantishub.io/view.php?id=7944) | `uvm_compat_packer` works wrong for `unpack_object` method | -| [Mantis_7915](https://accellera.mantishub.io/view.php?id=7915) | Compatibility: `uvm_resource#(T)::get_by_name` and `get_by_type` missing in 2020-2.0 | -| [Mantis_8224](https://accellera.mantishub.io/view.php?id=8224) | TLM GP Buffer overflow during unpack operation | -| [Mantis_7879](https://accellera.mantishub.io/view.php?id=7879) | All classes should derive from `uvm_void` | -| [Mantis_7932](https://accellera.mantishub.io/view.php?id=7932) | `UVM_ENABLE_DEPRECATED` in 1800.2-2020 2.0 library | -| [Mantis_7916](https://accellera.mantishub.io/view.php?id=7916) | Compat: UVM Messaging macros introduce unnecessary dependency on `uvm_report_object` | -| [Mantis_7696](https://accellera.mantishub.io/view.php?id=7696) | Poll API using Simulator callback | -| [Mantis_7933](https://accellera.mantishub.io/view.php?id=7933) | `uvm_tlm_fifo::flush` uses bit instead of int for `mailbox::try_get` return value | -| [Mantis_7919](https://accellera.mantishub.io/view.php?id=7919) | LINT: one-line if/else statements should use `begin...end` | -| [Mantis_7935](https://accellera.mantishub.io/view.php?id=7935) | Add a process guard class | -| [Mantis_6745](https://accellera.mantishub.io/view.php?id=6475) | Jumping to extract phase results in extract executing twice | -| [Mantis_5315](https://accellera.mantishub.io/view.php?id=5315) | No arbitration to protect the `rw_info` of front door sequence from being accessed by multiple threads simultaneously | +| [Mantis 8419](https://accellera.mantishub.io/view.php?id=8419) | Compatibility: `uvm_event#(T)::add_callback/delete_callback` missing | +| [Mantis 8406](https://accellera.mantishub.io/view.php?id=8406) | Compatibility: add `begin/end_event` to `uvm_transaction` | +| [Mantis 8407](https://accellera.mantishub.io/view.php?id=8407) | Compatibility: `` `uvm_print_* `` macros removed | +| [Mantis 8405](https://accellera.mantishub.io/view.php?id=8405) | Compatibility: `uvm_deprecated_defines.svh` is missing | +| [Mantis 8404](https://accellera.mantishub.io/view.php?id=8404) | Compatibility: `uvm_report_object::get_report_server` | +| [Mantis 7365](https://accellera.mantishub.io/view.php?id=7365) | `+uvm_set_verbosity` does not work when a non-zero time is given | +| [Mantis 5000](https://accellera.mantishub.io/view.php?id=5000) | `uvm_[bitstream\|integral]_to_string` is undocumented, and non scalable | +| [Mantis 7340](https://accellera.mantishub.io/view.php?id=7340) | Request for explicit transaction_id type | +| [Mantis 8446](https://accellera.mantishub.io/view.php?id=8446) | Add policy extension for packers to indicate array operations | +| [Mantis 8376](https://accellera.mantishub.io/view.php?id=8376) | `static const xx` class members with initialization | +| [Github Issue #6](https://github.com/accellera-official/uvm-core/issues/6) | Static races in `static const` declarations | + # Installing the kit @@ -243,6 +243,10 @@ If data is packed to a stream and then that stream is unpacked, all library vers Prior to 1800.2 versions, user code could create an instance of uvm_sequence_base or uvm_sequence#(), but because these are abstract in 1800.2, they may no longer be instanced. The recommendation is to use the uvm_compat_sequence_proxy_sequence#(). Please refer to the compatibility package [README](./compat/README.md) for details. +### `uvm_deprecated_defines.svh` and associated macros have been removed + +Prior to 1800.2 versions, the file `src/macros/uvm_deprecated_defines.svh` existed and presented transitional macros for users converting from OVM, such as `` `uvm_sequencer_utils(TYPE) ``. This file has been removed and its macros are no longer supported. + ## Polling mechansim. The Polling mechanism is a new feature under development. Hence the API may change. It is experimental and feedback is welcome. To use this feature, add the define UVM_ENABLE_EXPERIMENTAL_POLLING_API to include the polling API in your compilation. This is in addition to other defines described below which select options within the polling API. diff --git a/compat/README.md b/compat/README.md index ec62b48..c2a7fa3 100644 --- a/compat/README.md +++ b/compat/README.md @@ -8,7 +8,7 @@ Note that the uvm_compat_pkg provides compatibility by enabling code based on di # Kit version -This version of the compatibility package was distributed with 1800.2 2020.3.0. +This version of the compatibility package was distributed with 1800.2 2020.3.1. # License diff --git a/docs/html/doc_src/overviews/intro.txt b/docs/html/doc_src/overviews/intro.txt index 8e1bdfc..429f683 100644 --- a/docs/html/doc_src/overviews/intro.txt +++ b/docs/html/doc_src/overviews/intro.txt @@ -1,10 +1,10 @@ - -Title: UVM 1800.2-2020 Class Reference - -This library implements the API as documented in the IEEE 1800.2-2020 standard. Documentation for that API is in the standard. In addition, this library also implements user-facing APIs that go beyond the standard. - -These APIs are documented here and include both APIs that are being considered for contribution to the IEEE by Accellera and APIs that are provided for debug purposes and not being considered for contribution to the IEEE. - -The documentation uses the tags "@uvm-contrib" and "@uvm-accellera" to differentiate between the two sets of APIs. - -An additional note, certain terms in the documentation may be surrounded by less than/greater than symbols, such as "". This is an indication that the documentation is referring to a construct defined in the 1800.2 LRM. + +Title: UVM 1800.2-2020 Class Reference + +This library implements the API as documented in the IEEE 1800.2-2020 standard. Documentation for that API is in the standard. In addition, this library also implements user-facing APIs that go beyond the standard. + +These APIs are documented here and include both APIs that are being considered for contribution to the IEEE by Accellera and APIs that are provided for debug purposes and not being considered for contribution to the IEEE. + +The documentation uses the tags "@uvm-contrib" and "@uvm-accellera" to differentiate between the two sets of APIs. + +An additional note, certain terms in the documentation may be surrounded by less than/greater than symbols, such as "". This is an indication that the documentation is referring to a construct defined in the 1800.2 LRM. diff --git a/docs/html/doc_src/overviews/legal.txt b/docs/html/doc_src/overviews/legal.txt index 267e7be..b1e9c10 100644 --- a/docs/html/doc_src/overviews/legal.txt +++ b/docs/html/doc_src/overviews/legal.txt @@ -1,79 +1,79 @@ -Universal Verification Methodology -(UVM) 1.0 Class Reference - -May 2010 - -Copyright© 2010 Accellera. All rights reserved. - -Notices - -Accellera Standards documents are developed within Accellera and the Technical Committees of Accellera -Organization, Inc. Accellera develops its standards through a consensus development process, approved by -its members and board of directors, which brings together volunteers representing varied viewpoints and -interests to achieve the final product. Volunteers are not necessarily members of Accellera and serve without -compensation. While Accellera administers the process and establishes rules to promote fairness in the con- -sensus development process, Accellera does not independently evaluate, test, or verify the accuracy of any -of the information contained in its standards. - -Use of an Accellera Standard is wholly voluntary. Accellera disclaims liability for any personal injury, prop- -erty or other damage, of any nature whatsoever, whether special, indirect, consequential, or compensatory, -directly or indirectly resulting from the publication, use of, or reliance upon this, or any other Accellera -Standard document. - -Accellera does not warrant or represent the accuracy or content of the material contained herein, and -expressly disclaims any express or implied warranty, including any implied warranty of merchantability or -suitability for a specific purpose, or that the use of the material contained herein is free from patent infringe- -ment. Accellera Standards documents are supplied “AS IS.” - -The existence of an Accellera Standard does not imply that there are no other ways to produce, test, measure, -purchase, market, or provide other goods and services related to the scope of an Accellera Standard. Further- -more, the viewpoint expressed at the time a standard is approved and issued is subject to change due to -developments in the state of the art and comments received from users of the standard. Every Accellera -Standard is subjected to review periodically for revision and update. Users are cautioned to check to deter- -mine that they have the latest edition of any Accellera Standard. - -In publishing and making this document available, Accellera is not suggesting or rendering professional or -other services for, or on behalf of, any person or entity. Nor is Accellera undertaking to perform any duty -owed by any other person or entity to another. Any person utilizing this, and any other Accellera Standards -document, should rely upon the advice of a competent professional in determining the exercise of reasonable -care in any given circumstances. - -Interpretations: Occasionally questions may arise regarding the meaning of portions of standards as they -relate to specific applications. When the need for interpretations is brought to the attention of Accellera, -Accellera will initiate action to prepare appropriate responses. Since Accellera Standards represent a consen- -sus of concerned interests, it is important to ensure that any interpretation has also received the concurrence -of a balance of interests. For this reason, Accellera and the members of its Technical Committees are not -able to provide an instant response to interpretation requests except in those cases where the matter has pre- -viously received formal consideration. - -Comments for revision of Accellera Standards are welcome from any interested party, regardless of mem- -bership affiliation with Accellera. Suggestions for changes in documents should be in the form of a proposed -change of text, together with appropriate supporting comments. Comments on standards and requests for -interpretations should be addressed to: - - Accellera Organization - 1370 Trancas Street #163 - Napa, CA 94558 - USA - -Note: Attention is called to the possibility that implementation of this standard may require use of -subject matter covered by patent rights. By publication of this standard, no position is taken with -respect to the existence or validity of any patent rights in connection therewith. Accellera shall not -be responsible for identifying patents for which a license may be required by an Accellera standard -or for conducting inquiries into the legal validity or scope of those patents that are brought to its -attention. - -Accellera is the sole entity that may authorize the use of Accellera-owned certification marks and/or trade- -marks to indicate compliance with the materials set forth herein. - -Authorization to photocopy portions of any individual standard for internal or personal use must be granted -by Accellera Organization, Inc., provided that permission is obtained from and any required fee is paid to -Accellera. To arrange for authorization please contact Lynn Horobin, Accellera, 1370 Trancas Street #163, -Napa, CA 94558, phone (707) 251-9977, e-mail lynn@accellera.org. Permission to photocopy portions of -any individual standard for educational classroom use can also be obtained from Accellera. - -Suggestions for improvements to the UVM EA 1.0 Class Reference are welcome. They should be sent to the -VIP email reflector ~vip-tc@lists.accellera.org~ - -The current Working Group’s website address is ~www.accellera.org/activities/vip~ - +Universal Verification Methodology +(UVM) 1.0 Class Reference + +May 2010 + +Copyright© 2010 Accellera. All rights reserved. + +Notices + +Accellera Standards documents are developed within Accellera and the Technical Committees of Accellera +Organization, Inc. Accellera develops its standards through a consensus development process, approved by +its members and board of directors, which brings together volunteers representing varied viewpoints and +interests to achieve the final product. Volunteers are not necessarily members of Accellera and serve without +compensation. While Accellera administers the process and establishes rules to promote fairness in the con- +sensus development process, Accellera does not independently evaluate, test, or verify the accuracy of any +of the information contained in its standards. + +Use of an Accellera Standard is wholly voluntary. Accellera disclaims liability for any personal injury, prop- +erty or other damage, of any nature whatsoever, whether special, indirect, consequential, or compensatory, +directly or indirectly resulting from the publication, use of, or reliance upon this, or any other Accellera +Standard document. + +Accellera does not warrant or represent the accuracy or content of the material contained herein, and +expressly disclaims any express or implied warranty, including any implied warranty of merchantability or +suitability for a specific purpose, or that the use of the material contained herein is free from patent infringe- +ment. Accellera Standards documents are supplied “AS IS.” + +The existence of an Accellera Standard does not imply that there are no other ways to produce, test, measure, +purchase, market, or provide other goods and services related to the scope of an Accellera Standard. Further- +more, the viewpoint expressed at the time a standard is approved and issued is subject to change due to +developments in the state of the art and comments received from users of the standard. Every Accellera +Standard is subjected to review periodically for revision and update. Users are cautioned to check to deter- +mine that they have the latest edition of any Accellera Standard. + +In publishing and making this document available, Accellera is not suggesting or rendering professional or +other services for, or on behalf of, any person or entity. Nor is Accellera undertaking to perform any duty +owed by any other person or entity to another. Any person utilizing this, and any other Accellera Standards +document, should rely upon the advice of a competent professional in determining the exercise of reasonable +care in any given circumstances. + +Interpretations: Occasionally questions may arise regarding the meaning of portions of standards as they +relate to specific applications. When the need for interpretations is brought to the attention of Accellera, +Accellera will initiate action to prepare appropriate responses. Since Accellera Standards represent a consen- +sus of concerned interests, it is important to ensure that any interpretation has also received the concurrence +of a balance of interests. For this reason, Accellera and the members of its Technical Committees are not +able to provide an instant response to interpretation requests except in those cases where the matter has pre- +viously received formal consideration. + +Comments for revision of Accellera Standards are welcome from any interested party, regardless of mem- +bership affiliation with Accellera. Suggestions for changes in documents should be in the form of a proposed +change of text, together with appropriate supporting comments. Comments on standards and requests for +interpretations should be addressed to: + + Accellera Organization + 1370 Trancas Street #163 + Napa, CA 94558 + USA + +Note: Attention is called to the possibility that implementation of this standard may require use of +subject matter covered by patent rights. By publication of this standard, no position is taken with +respect to the existence or validity of any patent rights in connection therewith. Accellera shall not +be responsible for identifying patents for which a license may be required by an Accellera standard +or for conducting inquiries into the legal validity or scope of those patents that are brought to its +attention. + +Accellera is the sole entity that may authorize the use of Accellera-owned certification marks and/or trade- +marks to indicate compliance with the materials set forth herein. + +Authorization to photocopy portions of any individual standard for internal or personal use must be granted +by Accellera Organization, Inc., provided that permission is obtained from and any required fee is paid to +Accellera. To arrange for authorization please contact Lynn Horobin, Accellera, 1370 Trancas Street #163, +Napa, CA 94558, phone (707) 251-9977, e-mail lynn@accellera.org. Permission to photocopy portions of +any individual standard for educational classroom use can also be obtained from Accellera. + +Suggestions for improvements to the UVM EA 1.0 Class Reference are welcome. They should be sent to the +VIP email reflector ~vip-tc@lists.accellera.org~ + +The current Working Group’s website address is ~www.accellera.org/activities/vip~ + diff --git a/docs/html/files/base/uvm_cmdline_processor-svh.html b/docs/html/files/base/uvm_cmdline_processor-svh.html index 38145f2..b6f3725 100644 --- a/docs/html/files/base/uvm_cmdline_processor-svh.html +++ b/docs/html/files/base/uvm_cmdline_processor-svh.html @@ -111,7 +111,7 @@ -

+uvm_set_config_bitstream

+uvm_set_config_bitstream=<comp>,<field>,<value> works like its procedural counterpart <uvm_config_db#(uvm_bitstream_t)::set()>.  The string value is processed as:

[sign][radix]value

Where `[sign]` is an optional sign character, either “+” or “-”, and `[radix]` is an optional radix specifier.

The following radix specifiers are supported

”’b”, “0b”: Binary “’o”: Octal “’d”: Decimal “’h”, “’x”, “0x”: Hexidecimal

If the optional radix is omitted, then the value shall be treated as decimal.

As the <uvm_bitstream_t> is a 4-state value, the characters “X”, “x”, “Z”, “z”, and “?” are legal within the value string.  Additionally, the underscore character (“_”) is ignored.

Note that unlike <+uvm_set_config_int>, the Accellera implementation of +uvm_set_config_bitstream is capable storing values that exceed 32 bits in size.  This means the two yield different results for 32 bit values when bit 32 is set, as <+uvm_set_config_int> treats bit 32 as the sign bit.

For example

// +uvm_set_config_int=uvm_test_top.soc_env,valA,'hFFFF_FFFF
+

+uvm_set_config_bitstream

+uvm_set_config_bitstream=<comp>,<field>,<value> works like its procedural counterpart <uvm_config_db#(uvm_bitstream_t)::set()>.  The string value is interpreted using the same rules as uvm_bit_vector_utils#(uvm_bitstream_t)::from_string().

Note that unlike <+uvm_set_config_int>, the Accellera implementation of +uvm_set_config_bitstream is capable storing values that exceed 32 bits in size.  This means the two yield different results for 32 bit values when bit 32 is set, as <+uvm_set_config_int> treats bit 32 as the sign bit.

For example

// +uvm_set_config_int=uvm_test_top.soc_env,valA,'hFFFF_FFFF
 // +uvm_set_config_bitstream=uvm_test_top.soc_env,valB,'hFFFF_FFFF
 
 longint valA, valB;
diff --git a/docs/html/files/base/uvm_misc-svh.html b/docs/html/files/base/uvm_misc-svh.html
new file mode 100644
index 0000000..2bcf9ad
--- /dev/null
+++ b/docs/html/files/base/uvm_misc-svh.html
@@ -0,0 +1,170 @@
+uvm_bit_vector_utils#(T)
+
+
+
+
+ 
+
+
+
+
+
+
+
+
+ 
+
+ + + +

uvm_bit_vector_utils#(T)

Provides utility functions for converting bit vectors to/from strings.

@uvm-contribFor potential contribution to a future 1800.2 standard
+ + +
Summary
+ + + + + + + + + + + + + + + + +
uvm_bit_vector_utils#(T)
Provides utility functions for converting bit vectors to/from strings.
Class Declaration
+
+ +
+ + + + + + + +
virtual class uvm_bit_vector_utils#(
    type T = int
)
+
Methods
to_stringConverts a packed array value into a string.
from_stringConverts a string value into a packed array.
+
+ + + + + + + + + + + +

to_string

+
+ +
+ + + + + + + + + + + + + + + + + + + +
static function string to_string(
    value,  
    int size,  
    uvm_radix_enum radix = UVM_NORADIX,
    string radix_str = ""
)
+

Converts a packed array value into a string.

The <size> argument is the number of bits in the vector to be converted, all bits beyond the size are ignored/masked out.  The <radix> argument controls the base of the conversion, e.g.  UVM_BIN for “%0b”.  The <radix_str> argument is prepended to the converted value, e.g.  “’b” for binary.  Note that the radix_str argument has no effect on the base of the conversion.

The return value is the converted string.

@uvm-contribFor potential contribution to a future 1800.2 standard
+ + + + + +

from_string

+
+ +
+ + + + + + + + + +
static function int from_string(
    input string val_str,
    output val
)
+

Converts a string value into a packed array.

The string val_str is processed as

[sign][radix]value

Where `[sign]` is an optional sign character, either “+” or “-”, and `[radix]` is an optional radix specifier.

The following radix specifiers are supported

”’b”, “0b”: Binary “’o”: Octal “’d”: Decimal “’h”, “’x”, “0x”: Hexidecimal

If the optional radix is omitted, then the value shall be treated as decimal.

The val_str is treated as a 4-state value, the characters “X”, “x”, “Z”, “z”, and “?” are legal within the val_str string.  Additionally, the underscore character (“_”) is ignored.

@uvm-contribFor potential contribution to a future 1800.2 standard
+ +
+ + + + +
+
+ +
+ + + + + + + +
virtual class uvm_bit_vector_utils#(
    type T = int
)
+Provides utility functions for converting bit vectors to/from strings.
+
+ +
+ + + + + + + + + + + + + + + + + + + +
static function string to_string(
    value,  
    int size,  
    uvm_radix_enum radix = UVM_NORADIX,
    string radix_str = ""
)
+Converts a packed array value into a string.
+
+ +
+ + + + + + + + + +
static function int from_string(
    input string val_str,
    output val
)
+Converts a string value into a packed array.
+ + \ No newline at end of file diff --git a/docs/html/files/base/uvm_object_globals-svh.html b/docs/html/files/base/uvm_object_globals-svh.html index 3bce2f3..966c0e4 100644 --- a/docs/html/files/base/uvm_object_globals-svh.html +++ b/docs/html/files/base/uvm_object_globals-svh.html @@ -1,4 +1,4 @@ -uvm_core_state @@ -18,16 +18,52 @@ -

uvm_core_state

Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.

Note: In addition to the states defined in section F.2.10, this implementation includes the following additional states.

UVM_CORE_PRE_INITThe uvm_init method has been invoked at least once, however the core service has yet to be determined/assigned.  Additional calls to uvm_init while in this state will result in a fatal message being generated, as the library can not determine the correct core service.
UVM_CORE_INITIALIZINGThe uvm_init method has been called at least once, and the core service has been determined.  Once in this state, it is safe to query <uvm_coreservice_t::get>.
UVM_CORE_POST_INITIncluded for consistency, this is equivalent to UVM_CORE_INITIALIZED in 1800.2-2020.

@uvm-contrib Potential contribution to 1800.2

+

uvm_object_globals.svh

Summary
- -
uvm_core_state
Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
+uvm_object_globals.svh + + + +Types + + +uvm_transaction_id_tIntegral type used to represent unique IDs of transactions in <uvm_transaction::set_transaction_id> and <uvm_transaction::get_transaction_id>. + + +uvm_tr_handle_tIntegral type used to represent transaction handles in <uvm_transaction::get_tr_handle> and associated APIs. + + +uvm_core_stateImplementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
+ + + + + + + + + + +

uvm_transaction_id_t

Integral type used to represent unique IDs of transactions in <uvm_transaction::set_transaction_id> and <uvm_transaction::get_transaction_id>.

@uvm-contribFor potential contribution to a future 1800.2 standard.
+ + + + + +

uvm_tr_handle_t

Integral type used to represent transaction handles in <uvm_transaction::get_tr_handle> and associated APIs.

@uvm-contribFor potential contribution to a future 1800.2 standard.
+ + + + + +

uvm_core_state

Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.

Note: In addition to the states defined in section F.2.10, this implementation includes the following additional states.

UVM_CORE_PRE_INITThe uvm_init method has been invoked at least once, however the core service has yet to be determined/assigned.  Additional calls to uvm_init while in this state will result in a fatal message being generated, as the library can not determine the correct core service.
UVM_CORE_INITIALIZINGThe uvm_init method has been called at least once, and the core service has been determined.  Once in this state, it is safe to query <uvm_coreservice_t::get>.
UVM_CORE_POST_INITIncluded for consistency, this is equivalent to UVM_CORE_INITIALIZED in 1800.2-2020.

@uvm-contrib Potential contribution to 1800.2

+
diff --git a/docs/html/files/base/uvm_packer_array_extension-svh.html b/docs/html/files/base/uvm_packer_array_extension-svh.html new file mode 100644 index 0000000..204a348 --- /dev/null +++ b/docs/html/files/base/uvm_packer_array_extension-svh.html @@ -0,0 +1,116 @@ +uvm_packer_array_extension + + + + + + + + + + + + + + +
+ + + +

uvm_packer_array_extension

Extension used to indicate that the packer is operating on an array of values.

By default, the packer will ignore the presence of this extension; however, a subclass of uvm_packer may change the structure of the packer state based on the presence of this extension.

+ + +
Summary
+ + + + + + + + + + + + + + + + + + + + + + + +
uvm_packer_array_extension
Extension used to indicate that the packer is operating on an array of values.
Class Hierarchy
+
+ + + +
uvm_object
uvm_packer_array_extension
+
+
Class Declaration
+
class uvm_packer_array_extension extends uvm_object +
Methods
newConstructor
getSingleton accessor
+
+ + + + + + + + + + + +

new

+
+ +
+ + + + + + + +
function new(
    string name = "unnamed-uvm_packer_array_extension"
)
+

Constructor

+ + + + + +

get

+
static function uvm_packer_array_extension get() +

Singleton accessor

The presence of a uvm_packer_array_extension within the packer extension list is sufficient to determine whether any actions should be taken by the packer.

A singleton instance is provided to avoid the performance impact of continuously constructing new instances.

+ +
+ + + + +
+
class uvm_packer_array_extension extends uvm_object +
Extension used to indicate that the packer is operating on an array of values.
+
+ +
+ + + + + + + +
function new(
    string name = "unnamed-uvm_packer_array_extension"
)
+Constructor
+
static function uvm_packer_array_extension get() +
Singleton accessor
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
+ + \ No newline at end of file diff --git a/docs/html/index/Classes.html b/docs/html/index/Classes.html index b75adfa..0e14681 100644 --- a/docs/html/index/Classes.html +++ b/docs/html/index/Classes.html @@ -16,9 +16,21 @@
Class Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
-
U
 uvm_abstract_component_registry#(T,Tname)
 uvm_abstract_object_registry#(T,Tname)
 uvm_cache(KEY_T,DATA_T)
 uvm_component
 uvm_component_registry#(T,Tname)
 uvm_config_db_default_implementation_t#(T)
 uvm_config_db_implementation_t#(T)
 uvm_config_db_options
 uvm_copier
 uvm_coreservice_t
 uvm_default_coreservice_t
 uvm_default_factory
 uvm_default_report_server
 uvm_event#(T)
 uvm_hdl_polling
 uvm_hdl_polling_cbs
 uvm_line_printer
 uvm_lru_cache(KEY_T,DATA_T)
 uvm_object_registry#(T,Tname)
 uvm_packer
 uvm_phase_hopper
 uvm_polling_backdoor
 uvm_port_base
 uvm_port_component#(PORT)
 uvm_port_component_base
 uvm_port_list
 uvm_process_guard#(T)
 uvm_process_guard_base
 uvm_reg
 uvm_reg_err_service
 uvm_reg_field
 uvm_reg_item
 uvm_reg_predictor
 uvm_reg_sequence
 uvm_report_catcher
 uvm_resource#(T)
 uvm_resource_base
 uvm_resource_db
 uvm_resource_db_default_implementation_t#(T)
 uvm_resource_db_implementation_t#(T)
 uvm_resource_db_options
 uvm_resource_debug
 uvm_resource_pool
 uvm_root
 uvm_sequencer_base
 uvm_table_printer
 uvm_text_recorder
 uvm_text_tr_database
 uvm_text_tr_stream
 uvm_tlm_generic_payload
 uvm_tree_printer
+uvm_abstract_component_registry#(T,Tname) uvm_abstract_object_registry#(T,Tname) uvm_bit_vector_utils#(T) uvm_cache(KEY_T,DATA_T) uvm_component uvm_component_registry#(T,Tname) uvm_config_db_default_implementation_t#(T) uvm_config_db_implementation_t#(T) uvm_config_db_options uvm_copier uvm_coreservice_t uvm_default_coreservice_t uvm_default_factory uvm_default_report_server uvm_event#(T) uvm_hdl_polling uvm_hdl_polling_cbs uvm_line_printer uvm_lru_cache(KEY_T,DATA_T) uvm_object_registry#(T,Tname) uvm_packer uvm_packer_array_extension uvm_phase_hopper uvm_polling_backdoor uvm_port_base uvm_port_component#(PORT) uvm_port_component_base uvm_port_list uvm_process_guard#(T) uvm_process_guard_base uvm_reg uvm_reg_err_service uvm_reg_field uvm_reg_item uvm_reg_predictor uvm_reg_sequence uvm_report_catcher uvm_resource#(T) uvm_resource_base uvm_resource_db uvm_resource_db_default_implementation_t#(T) uvm_resource_db_implementation_t#(T) uvm_resource_db_options uvm_resource_debug uvm_resource_pool uvm_root uvm_sequencer_base uvm_table_printer uvm_text_recorder uvm_text_tr_database uvm_text_tr_stream uvm_tlm_generic_payload uvm_tree_printer
Implementation of uvm_abstract_component_registry#(T,Tname), as defined by section 8.2.5.1.1 of 1800.2-2020.
Implementation of uvm_abstract_object_registry#(T,Tname), as defined by section 8.2.5.2.1 of 1800.2-2020.
+
+ +
+ + + + + + + +
virtual class uvm_bit_vector_utils#(
    type T = int
)
+Provides utility functions for converting bit vectors to/from strings.
@@ -34,15 +46,17 @@
virtual class uvm_cache#(
    type ) extends uvm_object
-Abstract base class for implementing a key/value pair cache.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_component_registry#(T,Tname), as defined by section 8.2.3.1 of 1800.2-2020.
Provides an implementation of uvm_config_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_config_db#(T) to allow users to create alternate implementations
+Abstract base class for implementing a key/value pair cache.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_component_registry#(T,Tname), as defined by section 8.2.3.1 of 1800.2-2020.
Provides an implementation of uvm_config_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_config_db#(T) to allow users to create alternate implementations
class uvm_config_db_options -
This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
Implementation of the uvm_copier class, as defined in section 16.6 of 1800.2-2020
The library implements the following public API in addition to what is documented in IEEE 1800.2.
Implementation of the uvm_default_coreservice_t as defined in section F.4.2.1 of 1800.2-2020.
Default implementation of the UVM factory.
Default implementation of the UVM report server, as defined in section 6.5.2 of 1800.2-2020
This is an implementation of uvm_event#(T) as described in 1800.2 with the addition of API described below.
+
This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
Implementation of the uvm_copier class, as defined in section 16.6 of 1800.2-2020
The library implements the following public API in addition to what is documented in IEEE 1800.2.
Implementation of the uvm_default_coreservice_t as defined in section F.4.2.1 of 1800.2-2020.
Default implementation of the UVM factory.
Default implementation of the UVM report server, as defined in section 6.5.2 of 1800.2-2020
This is an implementation of uvm_event#(T) as described in 1800.2 with the addition of API described below.
class uvm_hdl_polling extends uvm_object -
Base class for HDL Polling functionality when using the uvm_hdl_polling class.
+
Base class for HDL Polling functionality when using the uvm_hdl_polling class.
virtual class uvm_hdl_polling_cbs extends uvm_callback -
A base class for defining polling action callbacks to execute upon HDL path RTL signal value change
The line printer prints output in a line format.
Implements a least recently used (LRU) cache.
Implementation of uvm_object_registry#(T,Tname), as defined by section 8.2.4.1 of 1800.2-2020.
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
+ A base class for defining polling action callbacks to execute upon HDL path RTL signal value change
The line printer prints output in a line format.
Implements a least recently used (LRU) cache.
Implementation of uvm_object_registry#(T,Tname), as defined by section 8.2.4.1 of 1800.2-2020.
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
+
class uvm_packer_array_extension extends uvm_object +
Extension used to indicate that the packer is operating on an array of values.
class uvm_phase_hopper extends uvm_object -
The UVM phase hopper is responsible for the execution of the UVM phases during a test.
Backdoor base class for HDL Polling Extend this class and implement the methods in it to obtain backdoor functionality when using the uvm_hdl_polling class.
The library implements the following public API beyond what is documented in 1800.2.
+ The UVM phase hopper is responsible for the execution of the UVM phases during a test.
Backdoor base class for HDL Polling Extend this class and implement the methods in it to obtain backdoor functionality when using the uvm_hdl_polling class.
The library implements the following public API beyond what is documented in 1800.2.
@@ -54,11 +68,11 @@
class uvm_port_component #(
    type ) extends uvm_port_component_base
-This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
+This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
virtual class uvm_port_component_base extends uvm_component -
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
+ This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
typedef uvm_port_component_base uvm_port_list[string] -
Associative array of uvm_port_component_base class handles, indexed by string
+ Associative array of uvm_port_component_base class handles, indexed by string
@@ -70,23 +84,23 @@
class uvm_process_guard#(
    type ) extends uvm_process_guard_base
-Ensures that processes are not killed in unexpected ways.
+Ensures that processes are not killed in unexpected ways.
virtual class uvm_process_guard_base extends uvm_object -
Non-parameterized base class for uvm_process_guard#(T).
This is an implementation of uvm_reg as described in 1800.2 with the addition of API described below.
+ Non-parameterized base class for uvm_process_guard#(T).
This is an implementation of uvm_reg as described in 1800.2 with the addition of API described below.
class uvm_reg_err_service extends uvm_object -
This class contains virtual functions implementing error messages from uvm_reg.
This is an implementation of uvm_reg_field as described in 1800.2 with the addition of API described below.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource#(T) as defined in section C.2.5.1 of 1800.2-2020.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource_db, as defined in section C.3.2.1 of 1800.2-2020, with the following additional API
Provides an implementation of uvm_resource_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_resource_db#(T) to allow users to create alternate implementations
+ This class contains virtual functions implementing error messages from uvm_reg.
This is an implementation of uvm_reg_field as described in 1800.2 with the addition of API described below.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource#(T) as defined in section C.2.5.1 of 1800.2-2020.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource_db, as defined in section C.3.2.1 of 1800.2-2020, with the following additional API
Provides an implementation of uvm_resource_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_resource_db#(T) to allow users to create alternate implementations
class uvm_resource_db_options -
This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
+ This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
class uvm_resource_debug extends uvm_object -
Class provided to facilitate overriding of debug functions in the parameterized uvm_resource class.
The library implements the following public API beyond what is documented in 1800.2.
+ Class provided to facilitate overriding of debug functions in the parameterized uvm_resource class.
The library implements the following public API beyond what is documented in 1800.2.
class uvm_root extends uvm_component -
Implementation of the uvm_root class, as defined in 1800.2-2020 Section F.7 with the following additional API
The library implements some public API beyond what is documented in 1800.2.
The table printer prints output in a tabular format.
+ Implementation of the uvm_root class, as defined in 1800.2-2020 Section F.7 with the following additional API
The library implements some public API beyond what is documented in 1800.2.
The table printer prints output in a tabular format.
class uvm_text_recorder extends uvm_recorder -
The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
+ The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
class uvm_text_tr_database extends uvm_tr_database -
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
+ The uvm_text_tr_database is the default implementation for the uvm_tr_database.
class uvm_text_tr_stream extends uvm_tr_stream -
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Implementation of uvm_tlm_generic_payload, as described in section 12.3.4.2.1 of 1800.2-2020.
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
+ The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Implementation of uvm_tlm_generic_payload, as described in section 12.3.4.2.1 of 1800.2-2020.
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
diff --git a/docs/html/index/Files.html b/docs/html/index/Files.html index a9a70d9..63f2eb9 100644 --- a/docs/html/index/Files.html +++ b/docs/html/index/Files.html @@ -17,7 +17,7 @@
File Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
-
P
 Process Guards
U
 uvm_printer
+uvm_object_globals.svh uvm_printer
The process guard classes, uvm_process_guard_base and uvm_process_guard#(T), are used to detect and potentially recover from unexpected termination of a SystemVerilog process.
diff --git a/docs/html/index/General.html b/docs/html/index/General.html index 6cbc88d..e348654 100644 --- a/docs/html/index/General.html +++ b/docs/html/index/General.html @@ -15,7 +15,7 @@ -
Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
+
Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
@@ -23,22 +23,21 @@ - - - - - - - - - + + + + + + + + + - - - - - -
$#!
 +UVM_CONFIG_DB_TRACE
 +UVM_DUMP_CMDLINE_ARGS
 +UVM_OBJECTION_TRACE
 +UVM_PHASE_TRACE
 +UVM_RESOURCE_DB_TRACE
 +uvm_set_config_bitstream
 `UVM_COMPONENT_CONFIG_MODE_DEFAULT
 `uvm_report_begin
 `uvm_report_end
0-9
 2-2020 Class Reference
A
 Active Phase Objection
 apply_config_settings
 apply_config_settings_mode
 atomic_lock
 atomic_unlock
 Audit Trail
C
 cbs_type
 cleanup_phase
 clear
 Command line configuration
 Command Line Debug
 compose_report_message
 CONFIG_DEFAULT
 config_mode_e
 config_mode_t
 Core Service
 create_backdoor_probe
 create_probe
D
 debug_connected_to
 debug_create_by_name
 debug_create_by_type
 debug_provided_to
 debug_report_catcher
 do_check_error
 do_close
 do_close_db
 do_compare
 do_copy
 do_establish_link
 do_free
 do_on_hdl_change
 do_on_path_change
 do_open
 do_open_db
 do_open_recorder
 do_open_stream
 do_read
 do_trigger
 do_write
 drop_objection
E
 enable_report_id_count_summary
 end_phase
 evict
 evict_to_max
 execute_on
 execute_phase
 exists
 extension
F
 Factory Component and Object Wrappers
 find_unused_resources
 finish_phase
 flush
G
 get
 get_backdoor
 get_by_name
 get_by_type
 get_comp
 get_connected_to
 get_context
 get_default_map
 get_default_printer
 get_enable_print_topology
 get_first_copy
 get_global_hopper
 get_hdl_poll_cb_registered
 get_imp
 get_last_copy
 get_max_size
 get_next_copy
 get_num_copies
 get_number_callbacks
 get_objection
 get_objection_count
 get_objection_total
 get_phase_hopper
 get_prev_copy
 get_print_config_matches
 get_process
 get_rand_mode
 get_signal_size
 get_value_change_count
 Global Macros
 Globals
H
 HDL Signal Polling
 hdl_read
 Hook
I
 Implementation Agnostic API
 Implementation Specific API
 is_terminated
 is_tracing
K
 keys
 kind
M
 Macros
 Message Macros
 Methods
 Miscellaneous
N
 new
O
 offset
 optional_data
 optional_keys
P
 pack_object_with_meta
 Packing Macros
 parent
 peek
 Phase Component Traversal
 Phase Graph Execution
 poll_bkdr_wait_for_hdl_change
 polling_wait_for_value
 Port Base Classes
 print_accessors
 print_catcher
 print_config
 Process Guard Status
 Process Guards
 process_phase
 put
F
 Factory Component and Object Wrappers
 find_unused_resources
 finish_phase
 flush
 from_string
G
 get
 get_backdoor
 get_by_name
 get_by_type
 get_comp
 get_connected_to
 get_context
 get_default_map
 get_default_printer
 get_enable_print_topology
 get_first_copy
 get_global_hopper
 get_hdl_poll_cb_registered
 get_imp
 get_last_copy
 get_max_size
 get_next_copy
 get_num_copies
 get_number_callbacks
 get_objection
 get_objection_count
 get_objection_total
 get_phase_hopper
 get_prev_copy
 get_print_config_matches
 get_process
 get_rand_mode
 get_signal_size
 get_value_change_count
 Global Macros
 Globals
H
 HDL Signal Polling
 hdl_read
 Hook
I
 Implementation Agnostic API
 Implementation Specific API
 is_terminated
 is_tracing
K
 keys
 kind
M
 Macros
 Message Macros
 Methods
 Miscellaneous
N
 new
O
 offset
 optional_data
 optional_keys
P
 pack_object_with_meta
 Packing Macros
 parent
 peek
 Phase Component Traversal
 Phase Graph Execution
 poll_bkdr_wait_for_hdl_change
 polling_wait_for_value
 Port Base Classes
 print_accessors
 print_catcher
 print_config
 Process Guard Status
 Process Guards
 process_phase
 put
Q
 Queue API
R
 raise_objection
 read
 read_by_name
 read_by_type
 record_all_messages
 record_read_access
 record_write_access
 Recording Macros
 register_hdl_path
 Report Catcher
 Resource Base Classes
 Resources
 run_phases
S
 schedule_phase
 set
 set_anonymous
 set_backdoor
 set_default
 set_enable_print_topology
 set_file_name
 set_hdl_path
 set_hdl_poll_cb_registered
 set_imp
 set_max_size
 set_override
 set_override_name
 set_override_type
 set_poll
 set_print_config_matches
 set_rand_mode
 set_recording_enabled
 set_type_alias
 show_msg
 show_terminator
 show_verbosity
 Singleton Accessors
 size
 size_t
 start
 start_phase
T
 this_type
 Transitions
 traverse_on
 trigger_modified
 try_get
 try_peek
 try_put
 turn_off_tracing
 turn_on_tracing
 Types
U
 unpack_object_with_meta
 uvm_abstract_component_registry#(T,Tname)
 uvm_abstract_object_registry#(T,Tname)
 uvm_cache(KEY_T,DATA_T)
 uvm_component
 uvm_component_registry#(T,Tname)
 uvm_config_db_default_implementation_t#(T)
 uvm_config_db_implementation_t#(T)
 uvm_config_db_options
 uvm_copier
 uvm_core_state
 uvm_coreservice_t
 uvm_default_coreservice_t
 uvm_default_factory
 uvm_default_report_server
 UVM_DISABLE_AUTO_ITEM_RECORDING
 uvm_event#(T)
 uvm_get_poll
 uvm_hdl_polling
 uvm_hdl_polling_cbs
 uvm_init
 uvm_line_printer
 uvm_lru_cache(KEY_T,DATA_T)
 uvm_object_registry#(T,Tname)
 uvm_packer
 uvm_phase_hopper
 uvm_polling_backdoor
 uvm_port_base
 uvm_port_component#(PORT)
 uvm_port_component_base
 uvm_port_list
 uvm_printer
 uvm_process_guard#(T)
 uvm_process_guard_base
 uvm_reg
 uvm_reg_err_service
 uvm_reg_field
 uvm_reg_item
 uvm_reg_predictor
 uvm_reg_sequence
 uvm_report_catcher
 uvm_resource#(T)
 uvm_resource_base
 uvm_resource_db
 uvm_resource_db_default_implementation_t#(T)
 uvm_resource_db_implementation_t#(T)
 uvm_resource_db_options
 uvm_resource_debug
 uvm_resource_pool
 uvm_root
 uvm_sequencer_base
 uvm_table_printer
 uvm_text_recorder
 uvm_text_tr_database
 uvm_text_tr_stream
 uvm_tlm_generic_payload
 uvm_tree_printer
 uvm_wait_for_nba_region
V
 value
 Variables
W
 wait_for_hdl_change
 wait_for_objection
 wait_for_sequences_count
 wait_for_waiters
 wait_modified
 write
 write_attribute
 write_attribute_int
 write_by_name
 write_by_type
+raise_objection read read_by_name read_by_type record_all_messages record_read_access record_write_access Recording Macros register_hdl_path Report Catcher Resource Base Classes Resources run_phases +schedule_phase set set_anonymous set_backdoor set_default set_enable_print_topology set_file_name set_hdl_path set_hdl_poll_cb_registered set_imp set_max_size set_override set_override_name set_override_type set_poll set_print_config_matches set_rand_mode set_recording_enabled set_type_alias show_msg show_terminator show_verbosity Singleton Accessors size size_t start start_phase +this_type to_string Transitions traverse_on trigger_modified try_get try_peek try_put turn_off_tracing turn_on_tracing Types +unpack_object_with_meta uvm_abstract_component_registry#(T,Tname) uvm_abstract_object_registry#(T,Tname) uvm_bit_vector_utils#(T) uvm_cache(KEY_T,DATA_T) uvm_component uvm_component_registry#(T,Tname) uvm_config_db_default_implementation_t#(T) uvm_config_db_implementation_t#(T) uvm_config_db_options uvm_copier uvm_core_state uvm_coreservice_t uvm_default_coreservice_t uvm_default_factory uvm_default_report_server UVM_DISABLE_AUTO_ITEM_RECORDING uvm_event#(T) uvm_get_poll uvm_hdl_polling uvm_hdl_polling_cbs uvm_init uvm_line_printer uvm_lru_cache(KEY_T,DATA_T) uvm_object_globals.svh uvm_object_registry#(T,Tname) uvm_packer uvm_packer_array_extension uvm_phase_hopper uvm_polling_backdoor uvm_port_base uvm_port_component#(PORT) uvm_port_component_base uvm_port_list uvm_printer uvm_process_guard#(T) uvm_process_guard_base uvm_reg uvm_reg_err_service uvm_reg_field uvm_reg_item uvm_reg_predictor uvm_reg_sequence uvm_report_catcher uvm_resource#(T) uvm_resource_base uvm_resource_db uvm_resource_db_default_implementation_t#(T) uvm_resource_db_implementation_t#(T) uvm_resource_db_options uvm_resource_debug uvm_resource_pool uvm_root uvm_sequencer_base uvm_table_printer uvm_text_recorder uvm_text_tr_database uvm_text_tr_stream uvm_tlm_generic_payload uvm_tr_handle_t uvm_transaction_id_t uvm_tree_printer uvm_wait_for_nba_region +value Variables
+UVM_CONFIG_DB_TRACE turns on tracing of configuration DB accesses.
+UVM_DUMP_CMDLINE_ARGS allows the user to dump all command line arguments to the reporting mechanism.
+UVM_OBJECTION_TRACE turns on tracing of objection activity.
+UVM_PHASE_TRACE turns on tracing of phase executions.
+UVM_RESOURCE_DB_TRACE turns on tracing of resource DB accesses.
+uvm_set_config_bitstream=<comp>,<field>,<value> works like its procedural counterpart uvm_config_db#(uvm_bitstream_t)::set().
Defines the default configuration mode used by uvm_component::apply_config_settings_mode.
Opens a code block which will only execute if action is not UVM_NO_ACTION and VERBOSITY is lower than the configured verbosity on the report object RO for the associated SEVERITY and ID pair.
Ends a block opened using `uvm_report_begin.
@@ -482,11 +481,25 @@
virtual function void flush()
Evicts all keys from the cache.
virtual function void flush() -
Clear the state of the predictor including the pending writes.
+ Clear the state of the predictor including the pending writes.
+
+ +
+ + + + + + + + + +
static function int from_string(
    input string val_str,
    output val
)
+Converts a string value into a packed array.
-
+
@@ -496,7 +509,7 @@
pure virtual function optional_data get(
    KEY_T )
-Returns data associated with key.
+Returns data associated with key.
@@ -516,7 +529,7 @@
virtual function bit get (
    )
-Provides an implementation of get, including support for config_db tracing @uvm-accellera
+Provides an implementation of get, including support for config_db tracing @uvm-accellera
@@ -536,7 +549,7 @@
pure virtual function bit get (
    )
-Intended to provide the functionality for uvm_config_db#(T)::get @uvm-contrib
+Intended to provide the functionality for uvm_config_db#(T)::get @uvm-contrib
@@ -546,7 +559,9 @@
virtual function optional_data get(
    KEY_T )
-Returns data associated with key.
+Returns data associated with key.
+
static function uvm_packer_array_extension get() +
Singleton accessor
@@ -557,9 +572,9 @@
protected virtual task get(
    output )
-Retrieves the next phase from the hopper.
+Retrieves the next phase from the hopper.
function uvm_polling_backdoor get_backdoor() -
simple setter and getter functions for the backdoor mechanism @uvm-accellera The details of this API are specific to the Accellera implementation
+ simple setter and getter functions for the backdoor mechanism @uvm-accellera The details of this API are specific to the Accellera implementation
@@ -573,7 +588,7 @@
virtual function rsrc_t get_by_name(
    string )
-Provides an implementation of get_by_name, with a warning if the matching resource is the wrong type.
+Provides an implementation of get_by_name, with a warning if the matching resource is the wrong type.
@@ -587,7 +602,7 @@
pure virtual function rsrc_t get_by_name(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::get_by_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::get_by_name @uvm-contrib
@@ -597,7 +612,7 @@
virtual function rsrc_t get_by_type(
    string )
-Provides an implementation of get_by_type, with a warning if the resource was not located.
+Provides an implementation of get_by_type, with a warning if the resource was not located.
@@ -607,9 +622,9 @@
pure virtual function rsrc_t get_by_type(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::get_by_type @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::get_by_type @uvm-contrib
virtual function uvm_port_component_base get_comp() -
Returns a handle to the internal proxy component representing this port.
+ Returns a handle to the internal proxy component representing this port.
@@ -620,7 +635,7 @@
virtual function void get_connected_to(
    ref )
-Implementation of the pure function declared in uvm_port_component_base
+Implementation of the pure function declared in uvm_port_component_base
@@ -631,19 +646,19 @@
pure virtual function void get_connected_to(
    ref )
-For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
+For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
function T get_context() -
Returns the context with which the process guard was initialized.
+ Returns the context with which the process guard was initialized.
virtual function uvm_reg_map get_default_map () -
+
virtual function uvm_printer get_default_printer() -
Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2020.
+ Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2020.
function bit get_enable_print_topology () -
Gets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase..
+ Gets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase..
static function uvm_phase_hopper get_global_hopper() -
Returns the global phase hopper.
+ Returns the global phase hopper.
function bit get_hdl_poll_cb_registered -
Accessor method to determine if a callback was registered for this object.
+ Accessor method to determine if a callback was registered for this object.
@@ -652,7 +667,7 @@
static function uvm_config_db_implementation_t #(
    T) get_imp()
-Returns the implementation instance to be used.
+Returns the implementation instance to be used.
@@ -661,9 +676,9 @@
static function uvm_resource_db_implementation_t #(
    T) get_imp ()
-Returns the implementation instance to be used.
+Returns the implementation instance to be used.
virtual function size_t get_max_size() -
Returns the current maximum size of the cache.
+ Returns the current maximum size of the cache.
@@ -673,9 +688,9 @@
function int unsigned get_num_copies(
    uvm_object )
-Returns the number of times the rhs has been copied to a unique lhs
This method returns the number of callback paths registered.
+Returns the number of times the rhs has been copied to a unique lhs
This method returns the number of callback paths registered.
protected virtual function uvm_objection get_objection() -
Retrieves the Active Phase Objection.
+ Retrieves the Active Phase Objection.
@@ -687,7 +702,7 @@
virtual function int get_objection_count(
    uvm_object )
-This is a pass through to uvm_objection::get_objection_count on the objection returned by get_objection.
+This is a pass through to uvm_objection::get_objection_count on the objection returned by get_objection.
@@ -699,21 +714,21 @@
virtual function int get_objection_total(
    uvm_object )
-This is a pass through to uvm_objection::get_objection_total on the objection returned by get_objection.
+This is a pass through to uvm_objection::get_objection_total on the objection returned by get_objection.
pure virtual function uvm_phase_hopper get_phase_hopper() -
Returns the uvm_phase_hopper (singleton) instance for this environment
+ Returns the uvm_phase_hopper (singleton) instance for this environment
static function bit get_print_config_matches() -
static function bit get_print_config_matches()
+ static function bit get_print_config_matches()
function process get_process() -
Returns the currently guarded process, or null if the guard has been cleared.
+ Returns the currently guarded process, or null if the guard has been cleared.
virtual function bit get_rand_mode() -
Returns the rand_mode of the field instance
+ Returns the rand_mode of the field instance
virtual function int get_signal_size() -
You must implement this function in the backdoor class to give you back the size of the signal @uvm-accellera The details of this API are specific to the Accellera implementation
This method tells you how many times the value of the signal changed.
Global object Macro definitions can be used in multiple locations
+ You must implement this function in the backdoor class to give you back the size of the signal @uvm-accellera The details of this API are specific to the Accellera implementation
This method tells you how many times the value of the signal changed.
Global object Macro definitions can be used in multiple locations
-
+
@@ -731,31 +746,31 @@ -
+
virtual function void hdl_read(
    ref 
function bit is_terminated() -
Returns true if the guarded process terminated prior to being cleared; otherwise, returns false.
+
Returns true if the guarded process terminated prior to being cleared; otherwise, returns false.
static function bit is_tracing() -
Returns 1 if the tracing facility is on and 0 if it is off.
+ Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
-
+
pure virtual function optional_keys keys() -
Returns a queue of all keys currently in the cache.
+ Returns a queue of all keys currently in the cache.
virtual function optional_keys keys() -
Returns a queue of all keys currently in the cache.
+ Returns a queue of all keys currently in the cache.
rand uvm_access_e kind
Kind of access: READ or WRITE.
-
The library implements the following public API at the package level beyond what is documented in IEEE 1800.2.
+
The library implements the following public API at the package level beyond what is documented in IEEE 1800.2.
-
+
@@ -771,7 +786,7 @@
function new(
    string )
-Constructor, initializes the cache.
+Constructor, initializes the cache.
@@ -783,7 +798,7 @@
function new(
    string )
-Constructor.
+Constructor.
@@ -795,7 +810,7 @@
function new(
    string )
-Class Constructor
+Class Constructor
@@ -811,7 +826,19 @@
function new(
    string )
-Constructor, initializes the cache.
+Constructor, initializes the cache.
+
+ +
+ + + + + + + +
function new(
    string name = "unnamed-uvm_packer_array_extension"
)
+Constructor
@@ -823,7 +850,7 @@
function new(
    string )
-Creates a new uvm_phase_hopper instance with name.
+Creates a new uvm_phase_hopper instance with name.
@@ -835,7 +862,7 @@
function new(
    string )
-Class constructor
+Class constructor
@@ -847,7 +874,7 @@
function new(
    string )
-Constructor
+Constructor
@@ -857,7 +884,7 @@
function new(
    string )
-Constructor.
+Constructor.
@@ -869,7 +896,7 @@
function new(
    string )
-Constructor
+Constructor
@@ -885,13 +912,13 @@ -
+
function new(
    string 
rand uvm_reg_addr_t offset -
For memory accesses, the offset address.
The cache can be queried for data at a given key.
The optional_keys type is similar to the optional_data type, however it stores keys instead of data.
+
For memory accesses, the offset address.
The cache can be queried for data at a given key.
The optional_keys type is similar to the optional_data type, however it stores keys instead of data.
-
+
@@ -901,9 +928,9 @@
function void uvm_packer::pack_object_with_meta(
    uvm_object )
-Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
The packing macros are implemented as described in section B.2.4 of the 1800.2 specification.
+Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
The packing macros are implemented as described in section B.2.4 of the 1800.2 specification.
rand uvm_sequence_base parent -
The sequence from which the operation originated.
+ The sequence from which the operation originated.
@@ -914,7 +941,7 @@
protected virtual task peek(
    output )
-Copies a phase from the hopper.
+Copies a phase from the hopper.
@@ -928,7 +955,7 @@
virtual task poll_bkdr_wait_for_hdl_change(
    ref )
-This task is a hdl monitoring task that returns any time the monitored hdl signal changes its value.
+This task is a hdl monitoring task that returns any time the monitored hdl signal changes its value.
@@ -942,11 +969,11 @@
virtual task polling_wait_for_value(
    ref )
-Perform register/field polling.
+Perform register/field polling.
virtual function void print_accessors() -
Delegates to the <uvm_resource_debug::print_access function to print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
+ Delegates to the <uvm_resource_debug::print_access function to print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
virtual function void print_accessors() -
Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
+ Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
@@ -958,7 +985,7 @@
static function void print_catcher(
    UVM_FILE )
-Prints debug information about all of the typewide report catchers that are registered.
+Prints debug information about all of the typewide report catchers that are registered.
@@ -974,7 +1001,7 @@
function void print_config(
    bit )
-Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
The process guard classes, uvm_process_guard_base and uvm_process_guard#(T), are used to detect and potentially recover from unexpected termination of a SystemVerilog process.
+Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
The process guard classes, uvm_process_guard_base and uvm_process_guard#(T), are used to detect and potentially recover from unexpected termination of a SystemVerilog process.
@@ -984,7 +1011,7 @@
protected virtual task process_phase(
    uvm_phase )
-Processes a phase.
+Processes a phase.
@@ -996,7 +1023,7 @@
pure virtual function void put(
    KEY_T )
-Puts data in cache at index key.
+Puts data in cache at index key.
@@ -1016,7 +1043,7 @@ -
+
virtual function void put(
    KEY_T 
@@ -1036,7 +1063,7 @@
protected virtual function void raise_objection(
    uvm_object )
-This is a pass through to uvm_objection::raise_objection on the objection returned by get_objection.
+This is a pass through to uvm_objection::raise_objection on the objection returned by get_objection.
@@ -1056,7 +1083,7 @@
virtual function bit read_by_name(
    )
-Provides an implementation of read_by_name, including support for resource tracing @uvm-accellera
+Provides an implementation of read_by_name, including support for resource tracing @uvm-accellera
@@ -1076,7 +1103,7 @@
pure virtual function bit read_by_name(
    )
-Intended to provide the functionality for uvm_resource_db#(T)::read_by_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::read_by_name @uvm-contrib
@@ -1093,7 +1120,7 @@
virtual function bit read_by_type(
    input )
-Provides an implementation of read_by_type, including support for resource tracing @uvm-accellera
+Provides an implementation of read_by_type, including support for resource tracing @uvm-accellera
@@ -1110,9 +1137,9 @@
pure virtual function bit read_by_type(
    )
-Intended to provide the functionality for uvm_resource_db#(T)::read_by_type @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::read_by_type @uvm-contrib
bit record_all_messages = 0 -
A flag to force recording of all messages (add UVM_RM_RECORD action)
+
A flag to force recording of all messages (add UVM_RM_RECORD action)
@@ -1124,7 +1151,7 @@
function void record_read_access(
    uvm_object )
-Delegates to the <uvm_resource_debug::record_read_access function to record read access information for this resource for debug purposes, information used by print_accessors function.
+Delegates to the <uvm_resource_debug::record_read_access function to record read access information for this resource for debug purposes, information used by print_accessors function.
@@ -1136,7 +1163,7 @@
virtual function void record_read_access(
    uvm_object )
-Record the read access information for this resource for debug purposes.
+Record the read access information for this resource for debug purposes.
@@ -1148,7 +1175,7 @@
function void record_write_access(
    uvm_object )
-Delegates to the <uvm_resource_debug::record_write_access function to record write access information for this resource for debug purposes, information used by print_accessors function.
+Delegates to the <uvm_resource_debug::record_write_access function to record write access information for this resource for debug purposes, information used by print_accessors function.
@@ -1160,7 +1187,7 @@
virtual function void record_write_access(
    uvm_object )
-Record the write access information for this resource for debug purposes.
The recording macros are implemented as described in section B.2.3 of the 1800.2 specification.
+Record the write access information for this resource for debug purposes.
The recording macros are implemented as described in section B.2.3 of the 1800.2 specification.
@@ -1170,13 +1197,13 @@
function bit register_hdl_path(
    string )
-This function registers a VPI value change callback for each RTL path Returns immediately if API callback was already registered Returns 1 if registration succeeded, 0 otherwise
Contains debug methods in the Accellera UVM implementation not documented in the IEEE 1800.2-2020 LRM
+This function registers a VPI value change callback for each RTL path Returns immediately if API callback was already registered Returns 1 if registration succeeded, 0 otherwise
Contains debug methods in the Accellera UVM implementation not documented in the IEEE 1800.2-2020 LRM
virtual task run_phases()
Runs all phases associated with a test.
-
+
@@ -1192,7 +1219,7 @@
protected virtual task schedule_phase(
    uvm_phase )
-Performs actions associated with transitioning phase state to the UVM_PHASE_SCHEDULED state.
+Performs actions associated with transitioning phase state to the UVM_PHASE_SCHEDULED state.
@@ -1214,7 +1241,7 @@
virtual function void set(
    string )
-Provides an implementation of set, including support for config_db tracing @uvm-accellera
+Provides an implementation of set, including support for config_db tracing @uvm-accellera
@@ -1236,7 +1263,7 @@
pure virtual function void set(
    string )
-Intended to provide the functionality for uvm_config_db#(T)::set @uvm-contrib
+Intended to provide the functionality for uvm_config_db#(T)::set @uvm-contrib
@@ -1252,7 +1279,7 @@
virtual function void set(
    string )
-Provides an implementation of set, including support for resource tracing @uvm-accellera
+Provides an implementation of set, including support for resource tracing @uvm-accellera
@@ -1268,7 +1295,7 @@
pure virtual function void set(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set @uvm-contrib
@@ -1282,7 +1309,7 @@
virtual function void set_anonymous(
    string )
-Provides an implementation of set_anonymous, including support for resource tracing @uvm-accellera
+Provides an implementation of set_anonymous, including support for resource tracing @uvm-accellera
@@ -1296,7 +1323,7 @@
pure virtual function void set_anonymous(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_anonymous @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_anonymous @uvm-contrib
@@ -1306,7 +1333,7 @@
function void set_backdoor(
    uvm_polling_backdoor )
-This method registers a backdoor class with the uvm_hdl_polling object.
+This method registers a backdoor class with the uvm_hdl_polling object.
@@ -1318,7 +1345,7 @@
virtual function rsrc_t set_default(
    string )
-Provides an implementation of set_default.
+Provides an implementation of set_default.
@@ -1330,7 +1357,7 @@
pure virtual function rsrc_t set_default(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_default @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_default @uvm-contrib
@@ -1340,7 +1367,7 @@
function void set_enable_print_topology (
    bit )
-Sets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase.
+Sets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase.
@@ -1350,7 +1377,7 @@
function void set_file_name(
    string )
-Sets the file name which will be used for output.
This method returns a summary statistic for the polling classes.
You may use this function to cache the full path to the signal.
+Sets the file name which will be used for output.
This method returns a summary statistic for the polling classes.
You may use this function to cache the full path to the signal.
@@ -1360,7 +1387,7 @@
function void set_hdl_poll_cb_registered(
    bit )
-This method basically sets an internal variable if a callback has been registered to this polling object.
+This method basically sets an internal variable if a callback has been registered to this polling object.
@@ -1373,7 +1400,7 @@
static function void set_imp(
    uvm_config_db_implementation_t )
-1) the imp argument if it is not null, else 2) the relevant factory override of uvm_config_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_config_db_default_implementation_t#(T) @uvm-contrib
+1) the imp argument if it is not null, else 2) the relevant factory override of uvm_config_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_config_db_default_implementation_t#(T) @uvm-contrib
@@ -1386,7 +1413,7 @@
static function void set_imp(
    uvm_resource_db_implementation_t )
-1) the imp argument if it is not null, else 2) the relevant factory override of uvm_resource_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_resource_db_default_implementation_t#(T) @uvm-contrib
+1) the imp argument if it is not null, else 2) the relevant factory override of uvm_resource_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_resource_db_default_implementation_t#(T) @uvm-contrib
@@ -1398,7 +1425,7 @@
virtual function void set_max_size(
    size_t )
-Sets the maximum size of the cache to max_size.
+Sets the maximum size of the cache to max_size.
@@ -1426,7 +1453,7 @@
static function void set_override(
    input )
-Create a new resource, write val to it, and set it into the database.
+Create a new resource, write val to it, and set it into the database.
@@ -1442,7 +1469,7 @@
virtual function void set_override(
    string )
-Provides an implementation of set_override, including support for resource tracing @uvm-accellera
+Provides an implementation of set_override, including support for resource tracing @uvm-accellera
@@ -1458,7 +1485,7 @@
pure virtual function void set_override(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_override @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_override @uvm-contrib
@@ -1486,7 +1513,7 @@
static function void set_override_name(
    input )
-Create a new resource, write val to it, and set it into the database.
+Create a new resource, write val to it, and set it into the database.
@@ -1502,7 +1529,7 @@
virtual function void set_override_name(
    string )
-Provides an implementation of set_override_name, including support for resource tracing @uvm-accellera
+Provides an implementation of set_override_name, including support for resource tracing @uvm-accellera
@@ -1518,7 +1545,7 @@
pure virtual function void set_override_name(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_override_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_override_name @uvm-contrib
@@ -1546,7 +1573,7 @@
static function void set_override_type(
    input )
-Create a new resource, write val to it, and set it into the database.
+Create a new resource, write val to it, and set it into the database.
@@ -1562,7 +1589,7 @@
virtual function void set_override_type(
    string )
-Provides an implementation of set_override_type, including support for resource tracing @uvm-accellera
+Provides an implementation of set_override_type, including support for resource tracing @uvm-accellera
@@ -1578,7 +1605,7 @@
pure virtual function void set_override_type(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_override_type @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_override_type @uvm-contrib
@@ -1590,7 +1617,7 @@
function bit uvm_set_poll(
    uvm_hdl_polling )
-simple set function that returns the poll instance for a given path @uvm-accellera The details of this API are specific to the Accellera implementation
+simple set function that returns the poll instance for a given path @uvm-accellera The details of this API are specific to the Accellera implementation
@@ -1600,7 +1627,7 @@
static function void set_print_config_matches(
    bit )
-static function void set_print_config_matches(bit val)
+static function void set_print_config_matches(bit val)
@@ -1610,7 +1637,7 @@
virtual function void set_rand_mode(
    bit )
-Modifies the rand_mode for the field instance to the specified one
+Modifies the rand_mode for the field instance to the specified one
@@ -1620,7 +1647,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1630,7 +1657,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1640,7 +1667,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1650,7 +1677,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1672,7 +1699,7 @@
virtual function void show_msg(
    string )
-Provides an implementation of show_msg.
+Provides an implementation of show_msg.
@@ -1694,7 +1721,7 @@
pure virtual function void show_msg(
    string )
-Intended to print a formatted string regarding an access of a particular config item @uvm-contrib
+Intended to print a formatted string regarding an access of a particular config item @uvm-contrib
@@ -1716,7 +1743,7 @@
virtual function void show_msg(
    string )
-Provides an implementation of show_msg.
+Provides an implementation of show_msg.
@@ -1738,15 +1765,15 @@
pure virtual function void show_msg(
    string )
-Intended to print a formatted string regarding an access of a particular resource @uvm-contrib
+Intended to print a formatted string regarding an access of a particular resource @uvm-contrib
bit show_terminator = 0 -
A flag to add a terminator in the messages, e.g.
+ A flag to add a terminator in the messages, e.g.
bit show_verbosity = 0 -
A flag to include verbosity in the messages, e.g.
+ A flag to include verbosity in the messages, e.g.
pure virtual function size_t size() -
Returns the current number of elements in the cache.
+ Returns the current number of elements in the cache.
virtual function size_t size() -
Returns the current number of elements in the cache.
An unsigned data type used for size operations on the cache.
+ Returns the current number of elements in the cache.
An unsigned data type used for size operations on the cache.
@@ -1770,7 +1797,7 @@
virtual task start(
    uvm_sequencer_base )
-Starts the frontdoor sequence.
+Starts the frontdoor sequence.
@@ -1784,7 +1811,31 @@ -
Typedef representing this cache parameterization.
@uvm-contrib This API is being considered for potential contribution to 1800.2
Performs actions associated with transitioning phase state to the UVM_PHASE_SYNCING state.
+
Typedef representing this cache parameterization.
@uvm-contrib This API is being considered for potential contribution to 1800.2
+
protected virtual task start_phase(
    uvm_phase 
+ +
+ + + + + + + + + + + + + + + + + + + +
static function string to_string(
    value,  
    int size,  
    uvm_radix_enum radix = UVM_NORADIX,
    string radix_str = ""
)
+Converts a packed array value into a string.
Performs actions associated with transitioning phase state to the UVM_PHASE_SYNCING state.
@@ -1800,7 +1851,7 @@
virtual function void traverse_on(
    uvm_phase )
-Calls traverse on imp, passing in comp, node, and state.
+Calls traverse on imp, passing in comp, node, and state.
@@ -1812,7 +1863,7 @@
virtual function void trigger_modified(
    string )
-@uvm-accellera
+@uvm-accellera
@@ -1824,7 +1875,7 @@
pure virtual function void trigger_modified(
    string )
-Triggers the event associated with inst_name and field_name, potentially unblocking calls to wait_modified.
+Triggers the event associated with inst_name and field_name, potentially unblocking calls to wait_modified.
@@ -1835,7 +1886,7 @@
protected virtual function bit try_get(
    inout )
-Attempts to retrieve the next phase from the hopper.
+Attempts to retrieve the next phase from the hopper.
@@ -1846,7 +1897,7 @@
protected virtual function bit try_peek(
    inout )
-Attempts to copy a phase from the hopper.
+Attempts to copy a phase from the hopper.
@@ -1856,19 +1907,19 @@
virtual function bit try_put(
    uvm_phase )
-Attempts to add a new phase to the hopper.
+Attempts to add a new phase to the hopper.
static function void turn_off_tracing() -
Turn tracing off for the configuration database.
+
Turn tracing off for the configuration database.
static function void turn_off_tracing() -
Turn tracing off for the resource database.
+ Turn tracing off for the resource database.
static function void turn_on_tracing() -
Turn tracing on for the configuration database.
+ Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.
-
+
@@ -1879,7 +1930,19 @@
function void uvm_packer::unpack_object_with_meta(
    inout )
-Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
Implementation of uvm_abstract_component_registry#(T,Tname), as defined by section 8.2.5.1.1 of 1800.2-2020.
Implementation of uvm_abstract_object_registry#(T,Tname), as defined by section 8.2.5.2.1 of 1800.2-2020.
+Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
Implementation of uvm_abstract_component_registry#(T,Tname), as defined by section 8.2.5.1.1 of 1800.2-2020.
Implementation of uvm_abstract_object_registry#(T,Tname), as defined by section 8.2.5.2.1 of 1800.2-2020.
+
+ +
+ + + + + + + +
virtual class uvm_bit_vector_utils#(
    type T = int
)
+Provides utility functions for converting bit vectors to/from strings.
@@ -1895,9 +1958,9 @@
virtual class uvm_cache#(
    type ) extends uvm_object
-Abstract base class for implementing a key/value pair cache.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_component_registry#(T,Tname), as defined by section 8.2.3.1 of 1800.2-2020.
Provides an implementation of uvm_config_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_config_db#(T) to allow users to create alternate implementations
+Abstract base class for implementing a key/value pair cache.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_component_registry#(T,Tname), as defined by section 8.2.3.1 of 1800.2-2020.
Provides an implementation of uvm_config_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_config_db#(T) to allow users to create alternate implementations
class uvm_config_db_options -
This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
Implementation of the uvm_copier class, as defined in section 16.6 of 1800.2-2020
Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
The library implements the following public API in addition to what is documented in IEEE 1800.2.
Implementation of the uvm_default_coreservice_t as defined in section F.4.2.1 of 1800.2-2020.
Default implementation of the UVM factory.
Default implementation of the UVM report server, as defined in section 6.5.2 of 1800.2-2020
Performs the same function as the deprecated 1800.2 define UVM_DISABLE_RECORDING, globally turning off automatic item recording when defined by the user.
This is an implementation of uvm_event#(T) as described in 1800.2 with the addition of API described below.
+ This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
Implementation of the uvm_copier class, as defined in section 16.6 of 1800.2-2020
Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
The library implements the following public API in addition to what is documented in IEEE 1800.2.
Implementation of the uvm_default_coreservice_t as defined in section F.4.2.1 of 1800.2-2020.
Default implementation of the UVM factory.
Default implementation of the UVM report server, as defined in section 6.5.2 of 1800.2-2020
Performs the same function as the deprecated 1800.2 define UVM_DISABLE_RECORDING, globally turning off automatic item recording when defined by the user.
This is an implementation of uvm_event#(T) as described in 1800.2 with the addition of API described below.
@@ -1907,13 +1970,15 @@
function uvm_hdl_polling uvm_get_poll(
    string )
-simple get function that returns the poll instance for a given path If no instance exists, it creates one and returns it.
+simple get function that returns the poll instance for a given path If no instance exists, it creates one and returns it.
class uvm_hdl_polling extends uvm_object -
Base class for HDL Polling functionality when using the uvm_hdl_polling class.
+ Base class for HDL Polling functionality when using the uvm_hdl_polling class.
virtual class uvm_hdl_polling_cbs extends uvm_callback -
A base class for defining polling action callbacks to execute upon HDL path RTL signal value change
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2020.
The line printer prints output in a line format.
Implements a least recently used (LRU) cache.
Implementation of uvm_object_registry#(T,Tname), as defined by section 8.2.4.1 of 1800.2-2020.
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
+ A base class for defining polling action callbacks to execute upon HDL path RTL signal value change
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2020.
The line printer prints output in a line format.
Implements a least recently used (LRU) cache.
Implementation of uvm_object_registry#(T,Tname), as defined by section 8.2.4.1 of 1800.2-2020.
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
+
class uvm_packer_array_extension extends uvm_object +
Extension used to indicate that the packer is operating on an array of values.
class uvm_phase_hopper extends uvm_object -
The UVM phase hopper is responsible for the execution of the UVM phases during a test.
Backdoor base class for HDL Polling Extend this class and implement the methods in it to obtain backdoor functionality when using the uvm_hdl_polling class.
The library implements the following public API beyond what is documented in 1800.2.
+ The UVM phase hopper is responsible for the execution of the UVM phases during a test.
Backdoor base class for HDL Polling Extend this class and implement the methods in it to obtain backdoor functionality when using the uvm_hdl_polling class.
The library implements the following public API beyond what is documented in 1800.2.
@@ -1925,11 +1990,11 @@
class uvm_port_component #(
    type ) extends uvm_port_component_base
-This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
+This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
virtual class uvm_port_component_base extends uvm_component -
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
+ This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
typedef uvm_port_component_base uvm_port_list[string] -
Associative array of uvm_port_component_base class handles, indexed by string
+ Associative array of uvm_port_component_base class handles, indexed by string
@@ -1941,214 +2006,32 @@
class uvm_process_guard#(
    type ) extends uvm_process_guard_base
-Ensures that processes are not killed in unexpected ways.
+Ensures that processes are not killed in unexpected ways.
virtual class uvm_process_guard_base extends uvm_object -
Non-parameterized base class for uvm_process_guard#(T).
This is an implementation of uvm_reg as described in 1800.2 with the addition of API described below.
+ Non-parameterized base class for uvm_process_guard#(T).
This is an implementation of uvm_reg as described in 1800.2 with the addition of API described below.
class uvm_reg_err_service extends uvm_object -
This class contains virtual functions implementing error messages from uvm_reg.
This is an implementation of uvm_reg_field as described in 1800.2 with the addition of API described below.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource#(T) as defined in section C.2.5.1 of 1800.2-2020.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource_db, as defined in section C.3.2.1 of 1800.2-2020, with the following additional API
Provides an implementation of uvm_resource_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_resource_db#(T) to allow users to create alternate implementations
+ This class contains virtual functions implementing error messages from uvm_reg.
This is an implementation of uvm_reg_field as described in 1800.2 with the addition of API described below.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource#(T) as defined in section C.2.5.1 of 1800.2-2020.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource_db, as defined in section C.3.2.1 of 1800.2-2020, with the following additional API
Provides an implementation of uvm_resource_db_implementation_t#(T).
Abstract class representing the implementation details of the API for uvm_resource_db#(T) to allow users to create alternate implementations
class uvm_resource_db_options -
This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
+ This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
class uvm_resource_debug extends uvm_object -
Class provided to facilitate overriding of debug functions in the parameterized uvm_resource class.
The library implements the following public API beyond what is documented in 1800.2.
+ Class provided to facilitate overriding of debug functions in the parameterized uvm_resource class.
The library implements the following public API beyond what is documented in 1800.2.
class uvm_root extends uvm_component -
Implementation of the uvm_root class, as defined in 1800.2-2020 Section F.7 with the following additional API
The library implements some public API beyond what is documented in 1800.2.
The table printer prints output in a tabular format.
+ Implementation of the uvm_root class, as defined in 1800.2-2020 Section F.7 with the following additional API
The library implements some public API beyond what is documented in 1800.2.
The table printer prints output in a tabular format.
class uvm_text_recorder extends uvm_recorder -
The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
+ The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
class uvm_text_tr_database extends uvm_tr_database -
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
+ The uvm_text_tr_database is the default implementation for the uvm_tr_database.
class uvm_text_tr_stream extends uvm_tr_stream -
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Implementation of uvm_tlm_generic_payload, as described in section 12.3.4.2.1 of 1800.2-2020.
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
+ The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Implementation of uvm_tlm_generic_payload, as described in section 12.3.4.2.1 of 1800.2-2020.
Integral type used to represent transaction handles in uvm_transaction::get_tr_handle and associated APIs.
Integral type used to represent unique IDs of transactions in uvm_transaction::set_transaction_id and uvm_transaction::get_transaction_id.
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
task uvm_wait_for_nba_region
This task will block until SystemVerilog’s NBA region (or Re-NBA region if called from a program context).
-
+
rand uvm_reg_data_t value[]
The value to write to, or after completion, the value read from the DUT.
- - -
-
- -
- - - - - - - - - -
virtual task wait_for_hdl_change(
    ref uvm_poll_status_e status,
    ref uvm_poll_data_t val
)
-if you’re using the default VPI implementation Register a value change VPI callback if not already registered Wait for a value change and then Return the new value if you’re using the backdoor, it will return when the backdoor changes.
-
- -
- - - - - - - - - - - -
virtual task wait_for_objection(
    uvm_objection_event objt_event,  
    uvm_object obj =  null
)
-This is a pass through to uvm_objection::wait_for on the objection returned by get_objection.
Controls the number of wait_for_sequences calls when selecting next sequence.
-
- -
- - - - - - - -
protected virtual task wait_for_waiters(
    uvm_phase phase,
    uvm_phase_state prev_state
)
-Delays execution to allow waiters on phase state changes to react.
-
- -
- - - - - - - - - -
virtual task wait_modified(
    uvm_component cntxt,
    string inst_name,
    string field_name
)
-Provides an implementation of wait_modified @uvm-accellera
-
- -
- - - - - - - - - -
pure virtual task wait_modified(
    uvm_component cntxt,
    string inst_name,
    string field_name
)
-Intended to provide the functionality for uvm_config_db#(T)::wait_modified @uvm-contrib
-
- -
- - - - - - - - - - - - - - - - - - - -
function void write_attribute(
    string nm,  
    uvm_bitstream_t value,  
    uvm_radix_enum radix,  
    int numbits = $bits(uvm_bitstream_t)
)
-Outputs a uvm_bitstream_t attribute to the textual log.
-
- -
- - - - - - - - - - - - - - - - - - - -
function void write_attribute_int(
    string nm,  
    uvm_integral_t value,  
    uvm_radix_enum radix,  
    int numbits = $bits(uvm_bitstream_t)
)
-Outputs an uvm_integral_t attribute to the textual log
-
- -
- - - - - - - - - - - -
virtual function bit write_by_name(
    string scope,
    string name,
    val,
    uvm_object accessor
)
-Provides an implementation of write_by_name, including support for resource tracing @uvm-accellera
-
- -
- - - - - - - - - - - -
pure virtual function bit write_by_name(
    string scope,
    string name,
    val,
    uvm_object accessor
)
-Intended to provide the functionality for uvm_resource_db#(T)::write_by_name @uvm-contrib
-
- -
- - - - - - - - - -
virtual function bit write_by_type(
    string scope,
    val,
    uvm_object accessor
)
-Provides an implementation of write_by_type, including support for resource tracing @uvm-accellera
-
- -
- - - - - - - - - -
pure virtual function bit write_by_type(
    string scope,
    val,
    uvm_object accessor
)
-Intended to provide the functionality for uvm_resource_db#(T)::write_by_type @uvm-contrib
-
diff --git a/docs/html/index/General2.html b/docs/html/index/General2.html new file mode 100644 index 0000000..87ecb7d --- /dev/null +++ b/docs/html/index/General2.html @@ -0,0 +1,205 @@ + + +Index + + + + + + + + + + + + + +
Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
+
W
 wait_for_hdl_change
 wait_for_objection
 wait_for_sequences_count
 wait_for_waiters
 wait_modified
 write
 write_attribute
 write_attribute_int
 write_by_name
 write_by_type
+ +
+
+ +
+ + + + + + + + + +
virtual task wait_for_hdl_change(
    ref uvm_poll_status_e status,
    ref uvm_poll_data_t val
)
+if you’re using the default VPI implementation Register a value change VPI callback if not already registered Wait for a value change and then Return the new value if you’re using the backdoor, it will return when the backdoor changes.
+
+ +
+ + + + + + + + + + + +
virtual task wait_for_objection(
    uvm_objection_event objt_event,  
    uvm_object obj =  null
)
+This is a pass through to uvm_objection::wait_for on the objection returned by get_objection.
Controls the number of wait_for_sequences calls when selecting next sequence.
+
+ +
+ + + + + + + +
protected virtual task wait_for_waiters(
    uvm_phase phase,
    uvm_phase_state prev_state
)
+Delays execution to allow waiters on phase state changes to react.
+
+ +
+ + + + + + + + + +
virtual task wait_modified(
    uvm_component cntxt,
    string inst_name,
    string field_name
)
+Provides an implementation of wait_modified @uvm-accellera
+
+ +
+ + + + + + + + + +
pure virtual task wait_modified(
    uvm_component cntxt,
    string inst_name,
    string field_name
)
+Intended to provide the functionality for uvm_config_db#(T)::wait_modified @uvm-contrib
+
+ +
+ + + + + + + + + + + + + + + + + + + +
function void write_attribute(
    string nm,  
    uvm_bitstream_t value,  
    uvm_radix_enum radix,  
    int numbits = $bits(uvm_bitstream_t)
)
+Outputs a uvm_bitstream_t attribute to the textual log.
+
+ +
+ + + + + + + + + + + + + + + + + + + +
function void write_attribute_int(
    string nm,  
    uvm_integral_t value,  
    uvm_radix_enum radix,  
    int numbits = $bits(uvm_bitstream_t)
)
+Outputs an uvm_integral_t attribute to the textual log
+
+ +
+ + + + + + + + + + + +
virtual function bit write_by_name(
    string scope,
    string name,
    val,
    uvm_object accessor
)
+Provides an implementation of write_by_name, including support for resource tracing @uvm-accellera
+
+ +
+ + + + + + + + + + + +
pure virtual function bit write_by_name(
    string scope,
    string name,
    val,
    uvm_object accessor
)
+Intended to provide the functionality for uvm_resource_db#(T)::write_by_name @uvm-contrib
+
+ +
+ + + + + + + + + +
virtual function bit write_by_type(
    string scope,
    val,
    uvm_object accessor
)
+Provides an implementation of write_by_type, including support for resource tracing @uvm-accellera
+
+ +
+ + + + + + + + + +
pure virtual function bit write_by_type(
    string scope,
    val,
    uvm_object accessor
)
+Intended to provide the functionality for uvm_resource_db#(T)::write_by_type @uvm-contrib
+ +
+ + + \ No newline at end of file diff --git a/docs/html/index/Methods.html b/docs/html/index/Methods.html index 49cfb3a..4d0ff68 100644 --- a/docs/html/index/Methods.html +++ b/docs/html/index/Methods.html @@ -21,18 +21,18 @@ cleanup_phase clear compose_report_message create_backdoor_probe create_probe debug_connected_to debug_create_by_name debug_create_by_type debug_provided_to debug_report_catcher do_check_error do_close do_close_db do_compare do_copy do_establish_link do_free do_on_hdl_change do_on_path_change do_open do_open_db do_open_recorder do_open_stream do_read do_trigger do_write drop_objection end_phase evict evict_to_max execute_on execute_phase exists -find_unused_resources finish_phase flush -get get_backdoor get_by_name get_by_type get_comp get_connected_to get_context get_default_map get_default_printer get_enable_print_topology get_first_copy get_global_hopper get_hdl_poll_cb_registered get_imp get_last_copy get_max_size get_next_copy get_num_copies get_number_callbacks get_objection get_objection_count get_objection_total get_phase_hopper get_prev_copy get_print_config_matches get_process get_rand_mode get_signal_size get_value_change_count -hdl_read -is_terminated is_tracing -keys -new -pack_object_with_meta peek poll_bkdr_wait_for_hdl_change polling_wait_for_value print_accessors print_catcher print_config process_phase put -raise_objection read read_by_name read_by_type record_read_access record_write_access register_hdl_path run_phases -schedule_phase set set_anonymous set_backdoor set_default set_enable_print_topology set_file_name set_hdl_path set_hdl_poll_cb_registered set_imp set_max_size set_override set_override_name set_override_type set_poll set_print_config_matches set_rand_mode set_recording_enabled set_type_alias show_msg size start start_phase -Transitions traverse_on trigger_modified try_get try_peek try_put turn_off_tracing turn_on_tracing -unpack_object_with_meta uvm_get_poll uvm_init uvm_wait_for_nba_region -wait_for_hdl_change wait_for_objection wait_for_waiters wait_modified write write_attribute write_attribute_int write_by_name write_by_type +find_unused_resources finish_phase flush from_string +get get_backdoor get_by_name get_by_type get_comp get_connected_to get_context get_default_map get_default_printer get_enable_print_topology get_first_copy get_global_hopper get_hdl_poll_cb_registered get_imp get_last_copy get_max_size get_next_copy get_num_copies get_number_callbacks get_objection get_objection_count get_objection_total get_phase_hopper get_prev_copy get_print_config_matches get_process get_rand_mode get_signal_size get_value_change_count +hdl_read +is_terminated is_tracing +keys +new +pack_object_with_meta peek poll_bkdr_wait_for_hdl_change polling_wait_for_value print_accessors print_catcher print_config process_phase put +raise_objection read read_by_name read_by_type record_read_access record_write_access register_hdl_path run_phases +schedule_phase set set_anonymous set_backdoor set_default set_enable_print_topology set_file_name set_hdl_path set_hdl_poll_cb_registered set_imp set_max_size set_override set_override_name set_override_type set_poll set_print_config_matches set_rand_mode set_recording_enabled set_type_alias show_msg size start start_phase +to_string Transitions traverse_on trigger_modified try_get try_peek try_put turn_off_tracing turn_on_tracing +unpack_object_with_meta uvm_get_poll uvm_init uvm_wait_for_nba_region +wait_for_hdl_change wait_for_objection wait_for_waiters wait_modified write write_attribute write_attribute_int write_by_name write_by_type
The apply_config_settings method diverges from the 1800.2 standard definition, using apply_config_settings_mode to determine how the resource pool shall be queried.
virtual function config_mode_t apply_config_settings_mode() @@ -462,11 +462,25 @@
virtual function void flush()
Evicts all keys from the cache.
virtual function void flush() -
Clear the state of the predictor including the pending writes.
+
Clear the state of the predictor including the pending writes.
+
+ +
+ + + + + + + + + +
static function int from_string(
    input string val_str,
    output val
)
+Converts a string value into a packed array.
-
+
@@ -476,7 +490,7 @@
pure virtual function optional_data get(
    KEY_T )
-Returns data associated with key.
+Returns data associated with key.
@@ -496,7 +510,7 @@
virtual function bit get (
    )
-Provides an implementation of get, including support for config_db tracing @uvm-accellera
+Provides an implementation of get, including support for config_db tracing @uvm-accellera
@@ -516,7 +530,7 @@
pure virtual function bit get (
    )
-Intended to provide the functionality for uvm_config_db#(T)::get @uvm-contrib
+Intended to provide the functionality for uvm_config_db#(T)::get @uvm-contrib
@@ -526,7 +540,9 @@
virtual function optional_data get(
    KEY_T )
-Returns data associated with key.
+Returns data associated with key.
+
static function uvm_packer_array_extension get() +
Singleton accessor
@@ -537,9 +553,9 @@
protected virtual task get(
    output )
-Retrieves the next phase from the hopper.
+Retrieves the next phase from the hopper.
function uvm_polling_backdoor get_backdoor() -
simple setter and getter functions for the backdoor mechanism @uvm-accellera The details of this API are specific to the Accellera implementation
+ simple setter and getter functions for the backdoor mechanism @uvm-accellera The details of this API are specific to the Accellera implementation
@@ -553,7 +569,7 @@
virtual function rsrc_t get_by_name(
    string )
-Provides an implementation of get_by_name, with a warning if the matching resource is the wrong type.
+Provides an implementation of get_by_name, with a warning if the matching resource is the wrong type.
@@ -567,7 +583,7 @@
pure virtual function rsrc_t get_by_name(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::get_by_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::get_by_name @uvm-contrib
@@ -577,7 +593,7 @@
virtual function rsrc_t get_by_type(
    string )
-Provides an implementation of get_by_type, with a warning if the resource was not located.
+Provides an implementation of get_by_type, with a warning if the resource was not located.
@@ -587,9 +603,9 @@
pure virtual function rsrc_t get_by_type(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::get_by_type @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::get_by_type @uvm-contrib
virtual function uvm_port_component_base get_comp() -
Returns a handle to the internal proxy component representing this port.
+ Returns a handle to the internal proxy component representing this port.
@@ -600,7 +616,7 @@
virtual function void get_connected_to(
    ref )
-Implementation of the pure function declared in uvm_port_component_base
+Implementation of the pure function declared in uvm_port_component_base
@@ -611,19 +627,19 @@
pure virtual function void get_connected_to(
    ref )
-For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
+For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
function T get_context() -
Returns the context with which the process guard was initialized.
+ Returns the context with which the process guard was initialized.
virtual function uvm_reg_map get_default_map () -
+
virtual function uvm_printer get_default_printer() -
Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2020.
+ Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2020.
function bit get_enable_print_topology () -
Gets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase..
+ Gets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase..
static function uvm_phase_hopper get_global_hopper() -
Returns the global phase hopper.
+ Returns the global phase hopper.
function bit get_hdl_poll_cb_registered -
Accessor method to determine if a callback was registered for this object.
+ Accessor method to determine if a callback was registered for this object.
@@ -632,7 +648,7 @@
static function uvm_config_db_implementation_t #(
    T) get_imp()
-Returns the implementation instance to be used.
+Returns the implementation instance to be used.
@@ -641,9 +657,9 @@
static function uvm_resource_db_implementation_t #(
    T) get_imp ()
-Returns the implementation instance to be used.
+Returns the implementation instance to be used.
virtual function size_t get_max_size() -
Returns the current maximum size of the cache.
+ Returns the current maximum size of the cache.
@@ -653,9 +669,9 @@
function int unsigned get_num_copies(
    uvm_object )
-Returns the number of times the rhs has been copied to a unique lhs
This method returns the number of callback paths registered.
+Returns the number of times the rhs has been copied to a unique lhs
This method returns the number of callback paths registered.
protected virtual function uvm_objection get_objection() -
Retrieves the Active Phase Objection.
+ Retrieves the Active Phase Objection.
@@ -667,7 +683,7 @@
virtual function int get_objection_count(
    uvm_object )
-This is a pass through to uvm_objection::get_objection_count on the objection returned by get_objection.
+This is a pass through to uvm_objection::get_objection_count on the objection returned by get_objection.
@@ -679,21 +695,21 @@
virtual function int get_objection_total(
    uvm_object )
-This is a pass through to uvm_objection::get_objection_total on the objection returned by get_objection.
+This is a pass through to uvm_objection::get_objection_total on the objection returned by get_objection.
pure virtual function uvm_phase_hopper get_phase_hopper() -
Returns the uvm_phase_hopper (singleton) instance for this environment
+ Returns the uvm_phase_hopper (singleton) instance for this environment
static function bit get_print_config_matches() -
static function bit get_print_config_matches()
+ static function bit get_print_config_matches()
function process get_process() -
Returns the currently guarded process, or null if the guard has been cleared.
+ Returns the currently guarded process, or null if the guard has been cleared.
virtual function bit get_rand_mode() -
Returns the rand_mode of the field instance
+ Returns the rand_mode of the field instance
virtual function int get_signal_size() -
You must implement this function in the backdoor class to give you back the size of the signal @uvm-accellera The details of this API are specific to the Accellera implementation
This method tells you how many times the value of the signal changed.
+ You must implement this function in the backdoor class to give you back the size of the signal @uvm-accellera The details of this API are specific to the Accellera implementation
This method tells you how many times the value of the signal changed.
-
+
@@ -711,25 +727,25 @@ -
+
virtual function void hdl_read(
    ref 
function bit is_terminated() -
Returns true if the guarded process terminated prior to being cleared; otherwise, returns false.
+
Returns true if the guarded process terminated prior to being cleared; otherwise, returns false.
static function bit is_tracing() -
Returns 1 if the tracing facility is on and 0 if it is off.
+ Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
-
+
pure virtual function optional_keys keys() -
Returns a queue of all keys currently in the cache.
+ Returns a queue of all keys currently in the cache.
virtual function optional_keys keys()
Returns a queue of all keys currently in the cache.
-
+
@@ -745,7 +761,7 @@
function new(
    string )
-Constructor, initializes the cache.
+Constructor, initializes the cache.
@@ -757,7 +773,7 @@
function new(
    string )
-Constructor.
+Constructor.
@@ -769,7 +785,7 @@
function new(
    string )
-Class Constructor
+Class Constructor
@@ -785,7 +801,19 @@
function new(
    string )
-Constructor, initializes the cache.
+Constructor, initializes the cache.
+
+ +
+ + + + + + + +
function new(
    string name = "unnamed-uvm_packer_array_extension"
)
+Constructor
@@ -797,7 +825,7 @@
function new(
    string )
-Creates a new uvm_phase_hopper instance with name.
+Creates a new uvm_phase_hopper instance with name.
@@ -809,7 +837,7 @@
function new(
    string )
-Class constructor
+Class constructor
@@ -821,7 +849,7 @@
function new(
    string )
-Constructor
+Constructor
@@ -831,7 +859,7 @@
function new(
    string )
-Constructor.
+Constructor.
@@ -843,7 +871,7 @@
function new(
    string )
-Constructor
+Constructor
@@ -859,7 +887,7 @@ -
+
function new(
    string 
@@ -869,7 +897,7 @@
function void uvm_packer::pack_object_with_meta(
    uvm_object )
-Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
+Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
@@ -880,7 +908,7 @@
protected virtual task peek(
    output )
-Copies a phase from the hopper.
+Copies a phase from the hopper.
@@ -894,7 +922,7 @@
virtual task poll_bkdr_wait_for_hdl_change(
    ref )
-This task is a hdl monitoring task that returns any time the monitored hdl signal changes its value.
+This task is a hdl monitoring task that returns any time the monitored hdl signal changes its value.
@@ -908,11 +936,11 @@
virtual task polling_wait_for_value(
    ref )
-Perform register/field polling.
+Perform register/field polling.
virtual function void print_accessors() -
Delegates to the <uvm_resource_debug::print_access function to print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
+
Delegates to the <uvm_resource_debug::print_access function to print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
virtual function void print_accessors() -
Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
+ Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
@@ -924,7 +952,7 @@
static function void print_catcher(
    UVM_FILE )
-Prints debug information about all of the typewide report catchers that are registered.
+Prints debug information about all of the typewide report catchers that are registered.
@@ -940,7 +968,7 @@
function void print_config(
    bit )
-Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
+Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
@@ -950,7 +978,7 @@
protected virtual task process_phase(
    uvm_phase )
-Processes a phase.
+Processes a phase.
@@ -962,7 +990,7 @@
pure virtual function void put(
    KEY_T )
-Puts data in cache at index key.
+Puts data in cache at index key.
@@ -978,7 +1006,7 @@ -
+
virtual function void put(
    KEY_T 
@@ -998,7 +1026,7 @@
protected virtual function void raise_objection(
    uvm_object )
-This is a pass through to uvm_objection::raise_objection on the objection returned by get_objection.
+This is a pass through to uvm_objection::raise_objection on the objection returned by get_objection.
@@ -1018,7 +1046,7 @@
virtual function bit read_by_name(
    )
-Provides an implementation of read_by_name, including support for resource tracing @uvm-accellera
+Provides an implementation of read_by_name, including support for resource tracing @uvm-accellera
@@ -1038,7 +1066,7 @@
pure virtual function bit read_by_name(
    )
-Intended to provide the functionality for uvm_resource_db#(T)::read_by_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::read_by_name @uvm-contrib
@@ -1055,7 +1083,7 @@
virtual function bit read_by_type(
    input )
-Provides an implementation of read_by_type, including support for resource tracing @uvm-accellera
+Provides an implementation of read_by_type, including support for resource tracing @uvm-accellera
@@ -1072,7 +1100,7 @@
pure virtual function bit read_by_type(
    )
-Intended to provide the functionality for uvm_resource_db#(T)::read_by_type @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::read_by_type @uvm-contrib
@@ -1084,7 +1112,7 @@
function void record_read_access(
    uvm_object )
-Delegates to the <uvm_resource_debug::record_read_access function to record read access information for this resource for debug purposes, information used by print_accessors function.
+Delegates to the <uvm_resource_debug::record_read_access function to record read access information for this resource for debug purposes, information used by print_accessors function.
@@ -1096,7 +1124,7 @@
virtual function void record_read_access(
    uvm_object )
-Record the read access information for this resource for debug purposes.
+Record the read access information for this resource for debug purposes.
@@ -1108,7 +1136,7 @@
function void record_write_access(
    uvm_object )
-Delegates to the <uvm_resource_debug::record_write_access function to record write access information for this resource for debug purposes, information used by print_accessors function.
+Delegates to the <uvm_resource_debug::record_write_access function to record write access information for this resource for debug purposes, information used by print_accessors function.
@@ -1120,7 +1148,7 @@
virtual function void record_write_access(
    uvm_object )
-Record the write access information for this resource for debug purposes.
+Record the write access information for this resource for debug purposes.
@@ -1130,13 +1158,13 @@
function bit register_hdl_path(
    string )
-This function registers a VPI value change callback for each RTL path Returns immediately if API callback was already registered Returns 1 if registration succeeded, 0 otherwise
+This function registers a VPI value change callback for each RTL path Returns immediately if API callback was already registered Returns 1 if registration succeeded, 0 otherwise
virtual task run_phases()
Runs all phases associated with a test.
-
+
@@ -1152,7 +1180,7 @@
protected virtual task schedule_phase(
    uvm_phase )
-Performs actions associated with transitioning phase state to the UVM_PHASE_SCHEDULED state.
+Performs actions associated with transitioning phase state to the UVM_PHASE_SCHEDULED state.
@@ -1174,7 +1202,7 @@
virtual function void set(
    string )
-Provides an implementation of set, including support for config_db tracing @uvm-accellera
+Provides an implementation of set, including support for config_db tracing @uvm-accellera
@@ -1196,7 +1224,7 @@
pure virtual function void set(
    string )
-Intended to provide the functionality for uvm_config_db#(T)::set @uvm-contrib
+Intended to provide the functionality for uvm_config_db#(T)::set @uvm-contrib
@@ -1212,7 +1240,7 @@
virtual function void set(
    string )
-Provides an implementation of set, including support for resource tracing @uvm-accellera
+Provides an implementation of set, including support for resource tracing @uvm-accellera
@@ -1228,7 +1256,7 @@
pure virtual function void set(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set @uvm-contrib
@@ -1242,7 +1270,7 @@
virtual function void set_anonymous(
    string )
-Provides an implementation of set_anonymous, including support for resource tracing @uvm-accellera
+Provides an implementation of set_anonymous, including support for resource tracing @uvm-accellera
@@ -1256,7 +1284,7 @@
pure virtual function void set_anonymous(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_anonymous @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_anonymous @uvm-contrib
@@ -1266,7 +1294,7 @@
function void set_backdoor(
    uvm_polling_backdoor )
-This method registers a backdoor class with the uvm_hdl_polling object.
+This method registers a backdoor class with the uvm_hdl_polling object.
@@ -1278,7 +1306,7 @@
virtual function rsrc_t set_default(
    string )
-Provides an implementation of set_default.
+Provides an implementation of set_default.
@@ -1290,7 +1318,7 @@
pure virtual function rsrc_t set_default(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_default @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_default @uvm-contrib
@@ -1300,7 +1328,7 @@
function void set_enable_print_topology (
    bit )
-Sets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase.
+Sets the variable to enable printing the entire testbench topology just after completion of the end_of_elaboration phase.
@@ -1310,7 +1338,7 @@
function void set_file_name(
    string )
-Sets the file name which will be used for output.
This method returns a summary statistic for the polling classes.
You may use this function to cache the full path to the signal.
+Sets the file name which will be used for output.
This method returns a summary statistic for the polling classes.
You may use this function to cache the full path to the signal.
@@ -1320,7 +1348,7 @@
function void set_hdl_poll_cb_registered(
    bit )
-This method basically sets an internal variable if a callback has been registered to this polling object.
+This method basically sets an internal variable if a callback has been registered to this polling object.
@@ -1333,7 +1361,7 @@
static function void set_imp(
    uvm_config_db_implementation_t )
-1) the imp argument if it is not null, else 2) the relevant factory override of uvm_config_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_config_db_default_implementation_t#(T) @uvm-contrib
+1) the imp argument if it is not null, else 2) the relevant factory override of uvm_config_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_config_db_default_implementation_t#(T) @uvm-contrib
@@ -1346,7 +1374,7 @@
static function void set_imp(
    uvm_resource_db_implementation_t )
-1) the imp argument if it is not null, else 2) the relevant factory override of uvm_resource_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_resource_db_default_implementation_t#(T) @uvm-contrib
+1) the imp argument if it is not null, else 2) the relevant factory override of uvm_resource_db_implementation_t#(T) if such an override exists, else 3) a new creation of uvm_resource_db_default_implementation_t#(T) @uvm-contrib
@@ -1358,7 +1386,7 @@
virtual function void set_max_size(
    size_t )
-Sets the maximum size of the cache to max_size.
+Sets the maximum size of the cache to max_size.
@@ -1386,7 +1414,7 @@
static function void set_override(
    input )
-Create a new resource, write val to it, and set it into the database.
+Create a new resource, write val to it, and set it into the database.
@@ -1402,7 +1430,7 @@
virtual function void set_override(
    string )
-Provides an implementation of set_override, including support for resource tracing @uvm-accellera
+Provides an implementation of set_override, including support for resource tracing @uvm-accellera
@@ -1418,7 +1446,7 @@
pure virtual function void set_override(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_override @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_override @uvm-contrib
@@ -1446,7 +1474,7 @@
static function void set_override_name(
    input )
-Create a new resource, write val to it, and set it into the database.
+Create a new resource, write val to it, and set it into the database.
@@ -1462,7 +1490,7 @@
virtual function void set_override_name(
    string )
-Provides an implementation of set_override_name, including support for resource tracing @uvm-accellera
+Provides an implementation of set_override_name, including support for resource tracing @uvm-accellera
@@ -1478,7 +1506,7 @@
pure virtual function void set_override_name(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_override_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_override_name @uvm-contrib
@@ -1506,7 +1534,7 @@
static function void set_override_type(
    input )
-Create a new resource, write val to it, and set it into the database.
+Create a new resource, write val to it, and set it into the database.
@@ -1522,7 +1550,7 @@
virtual function void set_override_type(
    string )
-Provides an implementation of set_override_type, including support for resource tracing @uvm-accellera
+Provides an implementation of set_override_type, including support for resource tracing @uvm-accellera
@@ -1538,7 +1566,7 @@
pure virtual function void set_override_type(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::set_override_type @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::set_override_type @uvm-contrib
@@ -1550,7 +1578,7 @@
function bit uvm_set_poll(
    uvm_hdl_polling )
-simple set function that returns the poll instance for a given path @uvm-accellera The details of this API are specific to the Accellera implementation
+simple set function that returns the poll instance for a given path @uvm-accellera The details of this API are specific to the Accellera implementation
@@ -1560,7 +1588,7 @@
static function void set_print_config_matches(
    bit )
-static function void set_print_config_matches(bit val)
+static function void set_print_config_matches(bit val)
@@ -1570,7 +1598,7 @@
virtual function void set_rand_mode(
    bit )
-Modifies the rand_mode for the field instance to the specified one
+Modifies the rand_mode for the field instance to the specified one
@@ -1580,7 +1608,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1590,7 +1618,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1600,7 +1628,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1610,7 +1638,7 @@
static function bit set_type_alias(
    string )
-Sets a type alias for this wrapper in the default factory.
+Sets a type alias for this wrapper in the default factory.
@@ -1632,7 +1660,7 @@
virtual function void show_msg(
    string )
-Provides an implementation of show_msg.
+Provides an implementation of show_msg.
@@ -1654,7 +1682,7 @@
pure virtual function void show_msg(
    string )
-Intended to print a formatted string regarding an access of a particular config item @uvm-contrib
+Intended to print a formatted string regarding an access of a particular config item @uvm-contrib
@@ -1676,7 +1704,7 @@
virtual function void show_msg(
    string )
-Provides an implementation of show_msg.
+Provides an implementation of show_msg.
@@ -1698,11 +1726,11 @@
pure virtual function void show_msg(
    string )
-Intended to print a formatted string regarding an access of a particular resource @uvm-contrib
+Intended to print a formatted string regarding an access of a particular resource @uvm-contrib
pure virtual function size_t size() -
Returns the current number of elements in the cache.
+
Returns the current number of elements in the cache.
virtual function size_t size() -
Returns the current number of elements in the cache.
+ Returns the current number of elements in the cache.
@@ -1726,7 +1754,7 @@
virtual task start(
    uvm_sequencer_base )
-Starts the frontdoor sequence.
+Starts the frontdoor sequence.
@@ -1740,7 +1768,31 @@ -
Performs actions associated with transitioning phase state to the UVM_PHASE_SYNCING state.
+
+
protected virtual task start_phase(
    uvm_phase 
+ +
+ + + + + + + + + + + + + + + + + + + +
static function string to_string(
    value,  
    int size,  
    uvm_radix_enum radix = UVM_NORADIX,
    string radix_str = ""
)
+Converts a packed array value into a string.
Performs actions associated with transitioning phase state to the UVM_PHASE_SYNCING state.
@@ -1756,7 +1808,7 @@
virtual function void traverse_on(
    uvm_phase )
-Calls traverse on imp, passing in comp, node, and state.
+Calls traverse on imp, passing in comp, node, and state.
@@ -1768,7 +1820,7 @@
virtual function void trigger_modified(
    string )
-@uvm-accellera
+@uvm-accellera
@@ -1780,7 +1832,7 @@
pure virtual function void trigger_modified(
    string )
-Triggers the event associated with inst_name and field_name, potentially unblocking calls to wait_modified.
+Triggers the event associated with inst_name and field_name, potentially unblocking calls to wait_modified.
@@ -1791,7 +1843,7 @@
protected virtual function bit try_get(
    inout )
-Attempts to retrieve the next phase from the hopper.
+Attempts to retrieve the next phase from the hopper.
@@ -1802,7 +1854,7 @@
protected virtual function bit try_peek(
    inout )
-Attempts to copy a phase from the hopper.
+Attempts to copy a phase from the hopper.
@@ -1812,19 +1864,19 @@
virtual function bit try_put(
    uvm_phase )
-Attempts to add a new phase to the hopper.
+Attempts to add a new phase to the hopper.
static function void turn_off_tracing() -
Turn tracing off for the configuration database.
+
Turn tracing off for the configuration database.
static function void turn_off_tracing() -
Turn tracing off for the resource database.
+ Turn tracing off for the resource database.
static function void turn_on_tracing() -
Turn tracing on for the configuration database.
+ Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.
-
+
@@ -1835,7 +1887,7 @@
function void uvm_packer::unpack_object_with_meta(
    inout )
-Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
+Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
@@ -1845,13 +1897,13 @@
function uvm_hdl_polling uvm_get_poll(
    string )
-simple get function that returns the poll instance for a given path If no instance exists, it creates one and returns it.
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2020.
+simple get function that returns the poll instance for a given path If no instance exists, it creates one and returns it.
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2020.
task uvm_wait_for_nba_region
This task will block until SystemVerilog’s NBA region (or Re-NBA region if called from a program context).
-
+
@@ -1865,7 +1917,7 @@
virtual task wait_for_hdl_change(
    ref )
-if you’re using the default VPI implementation Register a value change VPI callback if not already registered Wait for a value change and then Return the new value if you’re using the backdoor, it will return when the backdoor changes.
+if you’re using the default VPI implementation Register a value change VPI callback if not already registered Wait for a value change and then Return the new value if you’re using the backdoor, it will return when the backdoor changes.
@@ -1881,7 +1933,7 @@
virtual task wait_for_objection(
    uvm_objection_event )
-This is a pass through to uvm_objection::wait_for on the objection returned by get_objection.
+This is a pass through to uvm_objection::wait_for on the objection returned by get_objection.
@@ -1893,7 +1945,7 @@
protected virtual task wait_for_waiters(
    uvm_phase )
-Delays execution to allow waiters on phase state changes to react.
+Delays execution to allow waiters on phase state changes to react.
@@ -1907,7 +1959,7 @@
virtual task wait_modified(
    uvm_component )
-Provides an implementation of wait_modified @uvm-accellera
+Provides an implementation of wait_modified @uvm-accellera
@@ -1921,7 +1973,7 @@
pure virtual task wait_modified(
    uvm_component )
-Intended to provide the functionality for uvm_config_db#(T)::wait_modified @uvm-contrib
+Intended to provide the functionality for uvm_config_db#(T)::wait_modified @uvm-contrib
@@ -1945,7 +1997,7 @@
function void write_attribute(
    string )
-Outputs a uvm_bitstream_t attribute to the textual log.
+Outputs a uvm_bitstream_t attribute to the textual log.
@@ -1969,7 +2021,7 @@
function void write_attribute_int(
    string )
-Outputs an uvm_integral_t attribute to the textual log
+Outputs an uvm_integral_t attribute to the textual log
@@ -1985,7 +2037,7 @@
virtual function bit write_by_name(
    string )
-Provides an implementation of write_by_name, including support for resource tracing @uvm-accellera
+Provides an implementation of write_by_name, including support for resource tracing @uvm-accellera
@@ -2001,7 +2053,7 @@
pure virtual function bit write_by_name(
    string )
-Intended to provide the functionality for uvm_resource_db#(T)::write_by_name @uvm-contrib
+Intended to provide the functionality for uvm_resource_db#(T)::write_by_name @uvm-contrib
@@ -2015,7 +2067,7 @@
virtual function bit write_by_type(
    string )
-Provides an implementation of write_by_type, including support for resource tracing @uvm-accellera
+Provides an implementation of write_by_type, including support for resource tracing @uvm-accellera
diff --git a/docs/html/index/Types.html b/docs/html/index/Types.html index 03279e3..facb14f 100644 --- a/docs/html/index/Types.html +++ b/docs/html/index/Types.html @@ -20,7 +20,7 @@ -
pure virtual function bit write_by_type(
    string 
O
 optional_data
 optional_keys
S
 size_t
T
 this_type
U
 uvm_core_state
+
U
 uvm_core_state
 uvm_tr_handle_t
 uvm_transaction_id_t
Callback typedef for this event type.
Enumeration for controlling component config settings.
Value type for storing config_mode_e values
@@ -38,7 +38,7 @@ -
Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
+
Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
Integral type used to represent transaction handles in uvm_transaction::get_tr_handle and associated APIs.
Integral type used to represent unique IDs of transactions in uvm_transaction::set_transaction_id and uvm_transaction::get_transaction_id.
diff --git a/docs/html/menu.html b/docs/html/menu.html index 04cbe31..be22322 100644 --- a/docs/html/menu.html +++ b/docs/html/menu.html @@ -12,13 +12,13 @@ -